2021年2月28日 星期日

Advanced packaging market growing 6.6% CAGR to reach $42bn in 2025

The advanced packaging market is expected to grow at 6.6% CAGR 2019-2025, reaching $42 billion in 2025, forecasts Yole Developpement. By technology platform: the highest revenue CAGR is expected from 2.5D / 3D stacking IC , embedded die, and fan-out representing 21%, 18% and 16% of the total market, respectively. TSMC, Intel, Samsung, Amkor, ASE ...

This story continues at Advanced packaging market growing 6.6% CAGR to reach $42bn in 2025

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bLA0U5
via Yuichun

Scottish Orbex 3D-prints space rocket engines in-house

Orbex – the UK-based private, low-cost orbital launch services specialist – has commissioned AMCM to build what is described as the largest industrial 3D printer in Europe. The aim is to allow it to rapidly print complex rocket engines in-house. The custom-made, large volume 3D printer will allow Orbex to print more than 35 large-scale ...

This story continues at Scottish Orbex 3D-prints space rocket engines in-house

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Obt2iQ
via Yuichun

400G photonics modules case temperature extended to 80C

NeoPhotonics has announced availability of its extended case temperature QSFP-DD 400G ZR modules. These 400G ZR modules utilize NeoPhotonics’ industry leading coherent optical components including its Silicon Photonics Coherent Optical Subassembly (COSA) and low power consumption, ultra-narrow linewidth Nano-ITLA tunable laser. Each of these components can be operated over a wide module case temperature range ...

This story continues at 400G photonics modules case temperature extended to 80C

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bQcUvo
via Yuichun

C&K add to tactile switch portfolio

Following the KSC Tireless Endurance (KSC TE) tactile switch with 10 million cycles at 1.6N, C&K has launched a 5 million cycle version with higher actuation forces at 2.8N and 4N in a 6.3mm x 6.2mm x 3.5mm form factor. Now with three choices of actuation forces (1.6N, 2.8N, and 4N), the KSC TE extends ...

This story continues at C&K add to tactile switch portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2O7gxF6
via Yuichun

2021年2月26日 星期五

120V dc-dc converter for spacecraft

Microchip has added lower output voltage variants to its family of 120V input dc-dc converters for space use. Called the SA50-120 family and delivering up to 56W, the parts now cover the following outputs: SA50-120S, single output types: 3.3V 5V 12V 15V 28V SA50-120T, triple output types 3.3V main ±12V aux 3.3V main ±15V aux 5V ...

This story continues at 120V dc-dc converter for spacecraft

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3uyV9JC
via Yuichun

Digital devices for people with learning disabilities

The government has set up a £2.5 million fund to provide devices, data and digital support to people with learning disabilities who can’t afford to get online. The new Digital Lifeline scheme will fund 5,000 internet tablets preloaded with data and free tech support for those facing a combination of digital exclusion: cost as a ...

This story continues at Digital devices for people with learning disabilities

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qWARaV
via Yuichun

2021年2月25日 星期四

Top 10 Foundries To Grow 20% In Q1

Revenue for the top ten foundries is expected to grow 20% y-o-y in Q1, says TrendForce. 5nm  wafer inputs are projected to account for 20% TSMC’s Q1 revenue. 7nm revenue, driven by demand from AMD, Nvidia, Qualcomm, and MediaTek, will account for 30% of TSMC’s Q1 revenue. Overall, TSMC’s revenue is expected to rise  25% ...

This story continues at Top 10 Foundries To Grow 20% In Q1

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZS4mP8
via Yuichun

UK Space Sector Skills Survey highlights industry shortage

The UK Space Agency has published its Space Sector Skills Survey for 2020. A key problem identified is the supply of relevant skills to support future growth in the industry. In this area, it was noted that while “the industry recruits easily across cultures, ethnicities, and nationalities”, the number of women employed in the industry ...

This story continues at UK Space Sector Skills Survey highlights industry shortage

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3usuePA
via Yuichun

BrainChip powering artificial nose

BrainChip ‘s AI processor is powering NaNose (Nano Artificial Nose) which tests exhaled breath samples  for COVID-19. NaNose Medical technology, based on the artificial nose developed at the Technion Israel Institute of Technology, has the same sensitivity to minute quantities of Volatile Organic Compounds (VOCs) as a dog’s nose. It has been tested by the ...

This story continues at BrainChip powering artificial nose

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qXZbJs
via Yuichun

Secure time-sensitive communications for IIoT

NXP has announced its integrated Time-Sensitive Networking (TSN) solutions for industrial automation that now support the CC-Link IE TSN protocol, combining gigabit Ethernet bandwidth with TSN to strengthen time sharing communication, performance, security and functionality for industrial Ethernet. With CC-Link IE TSN certified support on NXP’s LS1028A industrial applications processor as well as i.MX RT1170 ...

This story continues at Secure time-sensitive communications for IIoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3krI28E
via Yuichun

Time buffering in DIN rail modules maintains power

DIN rail mounted DC-UPS modules, with time buffering, from Adel Systems are now available from Relec Electronics. The CBI series DC-UPS combine DIN rail power and battery management for industrial applications such as process control, machinery, security or communications. The modules charge and maintain batteries for the highest level of availability to ensure full power is ...

This story continues at Time buffering in DIN rail modules maintains power

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3r7sYiE
via Yuichun

ISSCC 2021: MIT links silicon chips at 100Gbit/s with dielectric ribbon

In a silicon-compatible parallel to fibre optics, MIT is using polymer-based ribbon waveguides to carry data between chips at 105Gbit/s – waveguide dimensions and material are chosen so that it propagates electromagnetic radiation between 200 and 335GHz, over 300mm of waveguide. To handle suitable sub-Thz frequencies, transmitter and receiver were made on a 130nm SiGe BiCMOS process ...

This story continues at ISSCC 2021: MIT links silicon chips at 100Gbit/s with dielectric ribbon

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3koMN2D
via Yuichun

PICMG releases COM-HPC specification

The PICMG consortium has ratified the COM-HPC specification for high-performance computing modules. It defines five module sizes to deliver edge server performance for small, rugged data centres and embedded use. The base specification will be accompanied later this year by a platform management interface specification, COM-HPC EEEP, and a carrier board design guide. “Twenty-six companies ...

This story continues at PICMG releases COM-HPC specification

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2O40MyU
via Yuichun

Space Sector Export Academy set up

The government is setting up the Space Sector Export Academy to upskill space-sector businesses  while the Leicester Space Park is  to become a High Potential Opportunity (HPO) for foreign investors. The Space Sector Export Academy will provide training to SME space businesses and increase international trade, investment skills and knowledge. Support for the Leicester Space ...

This story continues at Space Sector Export Academy set up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3uwcanJ
via Yuichun

SONIC to test O-RAN products

Digital Catapult and Catapult have set up SONIC (SmartRAN Open Network Interoperability Centre) (SONIC) to test interoperability and integration of  O-RAN networking products. SONIC will be operational from May 2021, coupling laboratory capabilities with real world indoor and outdoor deployments. Eight companies have joined SONIC:  Accelleran, Phluido, Effnet, Benetel, Mavenir, Foxconn, NEC and Radisys. These vendors and ...

This story continues at SONIC to test O-RAN products

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2P6x2Sh
via Yuichun

2021年2月24日 星期三

Capacity leaders in each wafer size

TSMC has the largest capacity in all the main wafer sizes, with Intel No.6 in 12 inch and ST No.2 in 8 inch and No.5 in the ≤150mm category, reports IC Insights in its Global Wafer Capacity 2021-2025 report. Infineon is No.4 in eight inch. Figure 1. compares the relative amounts of capacity held by the ...

This story continues at Capacity leaders in each wafer size

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qStMIr
via Yuichun

Vodafone to sell its European masts

Vodafone is reported to be IPO-ing its European mobile masts on the Frankfurt Exchange next month. The towers have been put into a separate company called Vantage Towers Group. Vantage Towers has 82,000 sites in ten countries – some jointly owned witn Telecom Italia and Cornerstone. Vantage is currently constructing 550 new sites due to ...

This story continues at Vodafone to sell its European masts

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NuCTk9
via Yuichun

ADI and Limerick University collaborate on immersive software.

Analog Devices has collaborated with the University of Limerick (UL) and other companies, including  Stripe, to launch a  computer science program called Immersive Software Engineering (ISE). Given increased demand for developer talent globally and rapid growth of the internet economy, ISE is designed to revolutionize the way computer science is taught, creating industry experienced software ...

This story continues at ADI and Limerick University collaborate on immersive software.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qRKB64
via Yuichun

Fluke thermal imagers for preventative maintenance

Fluke has launched two rugged thermal imagers the TiS55+ and TiS75+, suitable for carrying out preventative maintenance. Designed for one-handed use, the infrared inspection tools capture professional, high quality images of hot spots on machines and factory equipment, ensuring potential problems are caught before they lead to failures. Both the TiS55+ and TiS75+ provide a sharp, ...

This story continues at Fluke thermal imagers for preventative maintenance

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3kmbQTP
via Yuichun

EPC laser driver for ToF lidar

EPC has introduced a laser driver that integrates a 40 V, 10 A FET with integrated gate driver and 3.3 logic level input in a single chip for time-of-flight lidar systems used in robotics, surveillance systems, drones, autonomous cars, and vacuum cleaners. The EPC21601 is a laser driver that is controlled using 3.3 V logic and ...

This story continues at EPC laser driver for ToF lidar

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NZxe58
via Yuichun

Inmarsat appoints former Nokia boss Rajeev Suri as CEO

Inmarsat, the UK satellite communications services provider, has announced that Rajeev Suri, a former Nokia CEO, will assume the role of CEO. He will join the business officially from 1 March 2021, with Rupert Pearce – the current CEO – stepping down from 28 February. Most recently Suri was President and CEO of Nokia, a ...

This story continues at Inmarsat appoints former Nokia boss Rajeev Suri as CEO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZM19jV
via Yuichun

Amphenol RF introduces FAKRA to AMC4 cable assembly series

 Amphenol RF has introduced the FAKRA to AMC4 cable assembly product series. This preconfigured assembly combines the automotive industry standard FAKRA connector with the ultraminiature AMC4 connector on the flexible 1.13 mm micro coax cable. This combination allows for easy connect and disconnect and is ideal for automotive and IoT applications where space constraints are ...

This story continues at Amphenol RF introduces FAKRA to AMC4 cable assembly series

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2P5YKi1
via Yuichun

ST adds more security to STM32MP1 ecosystem

STMicroelectronics has added security tools and a security partner to the ecosystem that supports its STM32MP1 dual-core microprocessors. “We are adding security mechanisms such as OP-TEE and the TF-A project as another building block of our investment in this product line,” the company told Electronics Weekly. “We also added Sequitur, with Sequitur Labs’ EmSpark Security ...

This story continues at ST adds more security to STM32MP1 ecosystem

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bGFOye
via Yuichun

Long-life SMD aluminium electrolytic capacitors

Panasonic is promising long life from a range of surface-mount aluminium electrolytic capacitors. The parts span 10 – 680µF, 6.3 – 50Vdc and come in radial cases from 6.3mm in diameter and 7.7mm tall to 10mm dia and 10.3mm tall. Operation of the capacitors, dubbed V-FH, is across -55 to +105°C and life at maximum temperature ...

This story continues at Long-life SMD aluminium electrolytic capacitors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3uuSh0b
via Yuichun

LCD driver for 368 segments in vehicle dash

Epson is aiming at vehicle instrument clusters with a greyscale segment LCD driver for up to 368 segments. “The amount of information that needs to be shown on instrumental cluster displays is increasing as vehicles gain additional features and functions,” according to the company. “On the other hand, larger displays with higher definitions increase costs. For this reason, ...

This story continues at LCD driver for 368 segments in vehicle dash

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aOWbt9
via Yuichun

Arm embedded computer in pico-ITX format

Kontron is launching an Arm-based pico-ITX (100 x 72mm) single board computer built around a 1.5GHz quad core Cortex-A53 processor for demanding graphics applications. Called pITX-iMX8M, the processor is an iMX8M from NXP and it includes drive for 4K UltraHD resolution displays – with optional high dynamic range. Displays can be connected via LVDS Dual Channel, mini display ...

This story continues at Arm embedded computer in pico-ITX format

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dIbB4c
via Yuichun

2021年2月23日 星期二

Private network 5G spending to outpace public network spending, says Nokia CEO

More money will be invested in private 5G networks than in public networks over the next ten years, says Nokia CEO Pekka Lundmark. Lundmark is putting his faith in the ability of 5G to deliver ‘mission critical’ applications. “It all comes back to those two key words: critical networks,” says Lundmark, “as the 5G tech ...

This story continues at Private network 5G spending to outpace public network spending, says Nokia CEO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37I9LMU
via Yuichun

Building a ‘Space Bridge’ between the UK and Australia

The UK Space Agency calls it a ‘Space Bridge’, between the UK and Australia to form “a partnership to increase knowledge exchange and investment across the two countries’ space sectors”. Announcing the agreement, the UK Science Minister Amanda Solloway said: “The signing of today’s Space Bridge partnership, a world’s first, with one of our closet ...

This story continues at Building a ‘Space Bridge’ between the UK and Australia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2PaAQlL
via Yuichun

ams and ArcSoft demo 3D ToF system for Android devices

ams and imaging software developer ArcSoft have demonstrated a working system for 3D direct Time-of-Flight (dToF) sensing, offering a complete solution for 3D sensing for use in mobile devices for Android. Integrating ams’ 3D optical sensing solutions and ArcSoft’s middleware and software for simultaneous localisation and mapping (SLAM) and 3D image processing offers the option for manufacturers to ...

This story continues at ams and ArcSoft demo 3D ToF system for Android devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pPukgO
via Yuichun

Nexperia launches compact half-bridge auto MOSFETs

Nexperia has brought out a series of half-bridge (high side & low side) automotive MOSFETs constructed in the space-saving LFPAK56D package format. The half-bridge configuration of two MOSFETS is a standard building block for many automotive applications including motor drives and DC/DC converters. The new package provides a half-bridge solution in one device, occupying 30% ...

This story continues at Nexperia launches compact half-bridge auto MOSFETs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3kid96k
via Yuichun

Murata inertial sensor has six degrees of freedom for industrial equipment

Murata has announced an inertial sensor for adding navigation to industrial equipment, even in environments where there is high mechanical shock and vibration. The six-degrees-of-freedom sensor is called SCHA63T and includes X, Y and Z-axis angular rate sensors and a three-axis accelerometer based on capacitive MEMS. Signal processing is done with two mixed signal ASICs that ...

This story continues at Murata inertial sensor has six degrees of freedom for industrial equipment

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3slw0QN
via Yuichun

Precision chip resistors are automotive qualified.

KOA’s RN73R series chip resistors are compliant with the AEC-Q200 automotive standard, can operate over -55°C to +155°C (derated above 85°C) and have a thin film element of with temperature coefficient from ±5ppm to ±100ppm. “The series has a far greater electrolytic corrosion resistance than its predecessor – the RN73 series – which ensures a ...

This story continues at Precision chip resistors are automotive qualified.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qRTjBm
via Yuichun

Xilinx launches SmartNICs and video analytics to accelerate data centres

To accelerate the work rate of data centres, Xilinx has introduced a suite of products to address networking functions and AI analytics. The company announced a new family of Aleveo SmartNICs, AI video analytics applications and an accelerated algorithm trading reference design for fast (sub-microsecond) trading. The company also launched the Xilinx App store today. ...

This story continues at Xilinx launches SmartNICs and video analytics to accelerate data centres

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37IEkSS
via Yuichun

Europrocessor design to be implemented in Bangalore

Bangalore design house Open Silicon Research will put SiPearl’s Europrocessor, called Rhea, into silicon for TSMC’s 6nm process. The Arm-based Rhea is built for HPC applications and provides  improvements in memory bandwidth enabled by Open-Silicon Research’s High Bandwidth Memory (HBM2E) IP subsystem, die-to-die (D2D) interconnect, and HBM memory die into a single 2.5D advanced package. ...

This story continues at Europrocessor design to be implemented in Bangalore

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dEQa4c
via Yuichun

Grade 0 BLDC motor driver reduces MHEV drive systems

An integrated brushless DC (BLDC) motor driver has been introduced by Texas Instruments, targeting the mild hybrid electric vehicle (MHEV) market. The DRV3255-Q1 is claimed to be the first three-phase 48-V BLDC motor driver to integrate high- and low-side active short-circuit logic. This integration eliminates external transistors and control logic to reduce the size of ...

This story continues at Grade 0 BLDC motor driver reduces MHEV drive systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3uprrXC
via Yuichun

Microchip to create Cork development centre

Microchip  is investing $20 million  to create a development centre in Cork. The new facility will open during Q1 2021 and create 60 new jobs over the next three years and approximately 200 jobs within the next seven years. “I welcome Microchip Technology’s $20m investment in a new development centre in Cork,” says Taoiseach Micheál ...

This story continues at Microchip to create Cork development centre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37H1dpB
via Yuichun

2021年2月22日 星期一

ISSCC 2021: 802.15.4z impulse-radio UWB transmitter IC

Imec showed the first IEEE 802.15.4z impulse-radio ultra-wideband (IR-UWB) transmitter chip at ISSCC. The device strikes a balance between UWB’s accurate and secure ranging capabilities for indoor localization and the need for increased energy efficiency. Fabricated in 28nm CMOS (with an occupied core area of only 0.15mm²), the chip aims to enable the next generation ...

This story continues at ISSCC 2021: 802.15.4z impulse-radio UWB transmitter IC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aM7ylM
via Yuichun

Harmony in April

Huawei’s consumer boss, Richard Yu, shows off the new flagship foldable Mate X2. Yu says that the smartphone version of  Huawei’s HarmonyOS will be available in April. The X2 has an 8.01-inch display when unfolded, alongside a 6.45-inch screen on the front. The internal display offers minimal glare and an improved reading experience. It uses a ...

This story continues at Harmony in April

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aJIqvO
via Yuichun

U.S. Space Command battle takes political turn

Could the location of the U.S. Space Command headquarters, which was moving from Colorado to Alabama, be moving back again? Space Command was set to make its new home at the Redstone Arsenal in Huntsville, Alabama, a centre for developing and testing U.S. Army missile programs. It’s currently based at the Peterson Air Force Base ...

This story continues at U.S. Space Command battle takes political turn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aIf5li
via Yuichun

ISSCC 2021: Leti and Dolphin develop adaptive back-bias for FD-SOI

CEA-Leti and Dolphin Design have developed an adaptive back-biasing (ABB) architecture for FD-SOI chips that can be integrated in the digital design flow with industrial-grade qualification, overcoming integration drawbacks of existing ABB techniques. FD-SOI is a technology that allows the biasing of the transistor’s body that acts as a back gate. Unlike conventional bulk technology, ...

This story continues at ISSCC 2021: Leti and Dolphin develop adaptive back-bias for FD-SOI

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NpAMOu
via Yuichun

Automotive pressure sensor interface includes 4th-order polynomial correction

Allegro is aiming at automotive bridge-type pressure sensors with an interface IC designed to connect directly to a strain gauge or other Wheatstone bridge sensor. Qualification is automotive AEC-Q100 Grade 0. Called A17700, it amplifies and offset-adjusts the analogue input to best-fill the ADC for its internal digital signal processor. DSP includes filtering to trade speed with ...

This story continues at Automotive pressure sensor interface includes 4th-order polynomial correction

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qKei9f
via Yuichun

Fan-less Elkhart Mini-ITX motherboards for IoT edge devices

Advantech has released a low-profile fan-less industrial-grade Mini-ITX PC  motherboards built around Intel Elkhart Lake processors. Called AIMB-218, board are available with the following processors: Atom x6413E quad core 1.5GHz Celeron N6211 dual core 1.2GHz Celeron J6413 quad core 1.8GHz Pentium J6426* quad core 2GHz *according to the Advantech data sheet. Intel does not list this at the time ...

This story continues at Fan-less Elkhart Mini-ITX motherboards for IoT edge devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2McRik3
via Yuichun

Whitepaper: Considerations for safe load switch operations

There is a new ON Semiconductor whitepaper available on our site for readers, entitled Considerations for Safe Load Switch Operation: The OFF to ON Transition Read this whitepaper from ON Semiconductor » Integrated ecoSWITCH products deliver an area reducing solution that includes over temperature protection, short circuit protection, soft start, and extremely low RON series resistances ...

This story continues at Whitepaper: Considerations for safe load switch operations

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3tp6tHw
via Yuichun

Crypto Quantique partners with EPS Global for IoT cybersecurity

EPS Global, a provider of IC programming and secure provisioning services, and Crypto Quantique, a specialist in quantum-driven cybersecurity for the IoT, today announced a strategic partnership which will enable their joint customers to deploy secure IoT applications at scale. EPS Global provides secure provisioning services from its global network of secure programming centers, and ...

This story continues at Crypto Quantique partners with EPS Global for IoT cybersecurity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZRFKWV
via Yuichun

Small rotary switches are tough for industry and agriculture

Elma MR50 rotary switches are small yet robust for compact control panels and portable devices in building site machinery, vehicles and agricultural applications. Operation is across -45 to +85°C (IEC 60068-2-14), front ingress protection is IP60 (IP68 option) and 10Grms vibration resistance is specified (10 to 2kHz MIL-STD-202G method 214A condition 1/C). “The market for ...

This story continues at Small rotary switches are tough for industry and agriculture

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dAE9gb
via Yuichun

Elektra Awards tickets are now available

This year’s Elektra Awards will take place as a free virtual event on 25 March 2021 and tickets are now available – simply register here. Over the past year, emap has been honing its skills at staging online events, with over 50 successful awards ceremonies and conferences under its belt. As a result, we look ...

This story continues at Elektra Awards tickets are now available

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dAkw7P
via Yuichun

Wall-mount 20-30W medical-grade power adaptor

TrumPower has created a range of wall-plug power supplies for medical use. Called TM30W, there is a choice of 10 set output voltages between 5 and 48Vdc, at 20 – 30W depending on voltage (30W at 12V and above). A choice of mains plug interfaces, or an interchangeable version, allow the PSUs to fit UK, European ...

This story continues at Wall-mount 20-30W medical-grade power adaptor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37yrBll
via Yuichun

QLSI project plans 16 qubit chip in four years

The Fraunhofer Institute for Photonic Microsystems IPMS is leading the  QLSI project – “Quantum Large-Scale Integration with Silicon” – which aims to develop a 16-qubit chip within four years backed with €14.6 million from the EU. Fraunhofer IPMS is part of the newly launched European project QLSI (Quantum Large-Scale Integration with Silicon), which aims to ...

This story continues at QLSI project plans 16 qubit chip in four years

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37AhE7h
via Yuichun

Ericsson rolls out Massive MIMO

Ericsson has launched three new radios in its Massive MIMO portfolio and expanded its RAN Compute portfolio with six RAN Compute products to accelerate 5G mid-band rollouts based on . Ericsson Silicon – the company’s SoC range. With new mid-band spectrum available, communications service providers can leverage their 5G spectral assets to roll out services ...

This story continues at Ericsson rolls out Massive MIMO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZFEQwh
via Yuichun

2021年2月21日 星期日

Si Labs looking to sell analogue business

Silicon Labs is looking for a buyer for its analogue assets, reports Bloomberg. The company is said to have hired a financial consultant and is looking for a price of $1-2 billion for the business. In 2014 Silicon Labs paid $1.5 billion for the assets of  PMIC specialist Touchstone Semiconductor, adding 70 ICs to its ...

This story continues at Si Labs looking to sell analogue business

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aIp7Dg
via Yuichun

Snap-in caps have ripple current capability of 9.80A (400 V, 100 Hz, 60 °C).

TDK’s EPCOS aluminum electrolytic capacitor series B43548 with snap-in terminals have a maximum ripple current capability of 9.80 A (400 V, 100 Hz, 60 °C) which makes them suitable for demanding applications in power electronics. The capacitors are designed for a maximum operating temperature of 105 °C, have a rated voltage range of 400 V to 500 ...

This story continues at Snap-in caps have ripple current capability of 9.80A (400 V, 100 Hz, 60 °C).

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37B9mfr
via Yuichun

MCUs deliver vision at the edge

An AI firmware function pack and camera-module hardware bundle from STMicroelectronics enable embedded developers to build affordable and powerful computer-vision applications running locally, at the Edge, on STM32 MCUs. The STM32Cube function pack, FP-AI-VISION1, contains several code examples demonstrating complete computer-vision applications running a convolutional neural network (CNN) on STM32H747 and portable on all STM32 ...

This story continues at MCUs deliver vision at the edge

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NObGbS
via Yuichun

US FTC takes second look at Lockheed acquisition of Aerojet Rocketdyne

At the start of the year Lockheed Martin announced its intention to buy Aerojet Rocketdyne, the aerospace and defence rocket engine manufacturer, in a transaction valued at $4.4 billion. Since then there have been reports that Raytheon Technologies planned to formally oppose the deal – Aerojet Rocketdyne’s engines are also used by Raytheon as well ...

This story continues at US FTC takes second look at Lockheed acquisition of Aerojet Rocketdyne

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3kmI5m9
via Yuichun

120Hz frame rate OLED display driver for flexible displays

Magnachip Semiconductor of Korea has commenced full-scale mass production of its first 120Hz High Frame Rate (HFR) OLED display driver IC (DDIC) for QHD flexible displays. The chip is also designed for 1440 x 3360 resolution screens and is being integrated into high-end 5G smartphones produced by global manufacturers. With the HFR feature and utilisation ...

This story continues at 120Hz frame rate OLED display driver for flexible displays

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pHHXOM
via Yuichun

2021年2月19日 星期五

UK welcomes EU draft data decisions and urges swift aoption

The UK government has welcomed the European Commission’s draft data adequacy decisions and urges that they be swiftly adopted. Today’s draft decisions follow months of discussions and pave the way for continued free flow of data between the EU and the UK The UK now urges the EU to fulfil its declared commitment to complete ...

This story continues at UK welcomes EU draft data decisions and urges swift aoption

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3s7PfNr
via Yuichun

ISSCC 2021: Integrated GaN gate drive switches mains power at >100V/ns

GaN power transistors are becoming the gold standard for fast compact mains power switching – GaN HEMTs have no reverse recovery charge and can be designed with low on-resistance and low parasitic capacitance. However, their gates are fussy, and unbelievably fussy if all available speed is to be squeezed from this technology. Inappropriate gate drive ...

This story continues at ISSCC 2021: Integrated GaN gate drive switches mains power at >100V/ns

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3scJjTl
via Yuichun

2021年2月18日 星期四

China supplies 8.3% of domestic chip market

China-headquartered companies produced $8.3 billion worth of ICs accounting for 5.9% of the country’s total $143 billion IC market last year, says IC Insights. Under the ‘Made in China’ programme, China has a goal to be supplying 70% of its domestic market by 2025. Sales of logic ICs accounted for the largest share of China’s ...

This story continues at China supplies 8.3% of domestic chip market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dr93qZ
via Yuichun

Highest bandwidth PXI matrix claim

Pickering Interfaces of Clacton-on-sea claims that its general purpose PXI matrix has the undustry’s highest bandwidth. Available in 16×8, 2-pole, or high-density 32×8, 2-pole switching configurations, the matrix modules offer bandwidths ranging from 35MHz to 70MHz depending upon path selected and a VSWR <1.5:1 to 85MHz. The modules are in two-slot PXI (model 40-588) or ...

This story continues at Highest bandwidth PXI matrix claim

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3doy4Dm
via Yuichun

TT Electronics launches FibreFix splice

TT Electronics has launched its new interconnect solution for the aerospace and defence, rail, and industrial markets. The FibreFix inline mechanical splice is specifically designed to reinstate, repair, or extend fibre optic systems in harsh environment applications. The simple to install nature of the FibreFix solution provides significant savings in repair time and complexity by eliminating ...

This story continues at TT Electronics launches FibreFix splice

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dr1O2h
via Yuichun

650V auto SiC MOSFETs

ON Semiconductor has launched SiC MOSFET devices for demanding applications where power density, efficiency and reliability are key considerations. By replacing existing silicon switching technologies with the new SiC devices, designers will achieve significantly better performance in applications such as electric vehicles (EV) on-board chargers (OBC), solar inverters, server power supply units (PSU), telecoms and ...

This story continues at 650V auto SiC MOSFETs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NGwAcE
via Yuichun

Nasa delays launch for DART asteroid impact test

Nasa has chosen a later launch window for testing its first flight demonstration for planetary defence against the threat of asteroid impact. Dubbed the Double Asteroid Redirection Test (DART), the mission aims to shift an asteroid’s orbit through kinetic impact, in September 2022. Basically, impacting a spacecraft into the smaller member of the binary asteroid ...

This story continues at Nasa delays launch for DART asteroid impact test

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pwQ9Sc
via Yuichun

Keysight i7090 massively parallel board test system

Keysight Technologies has introduced a massively parallel board test system, the i7090, aimed at the testing of consumer electronics, IoT devices, consumer medical and automotive products. Performing tests in parallel, on multiple printed circuit board assemblies, it achieves high volume throughput for reducing cost-of-test highlights the company. “Massively parallel computation cores enable modern computers to ...

This story continues at Keysight i7090 massively parallel board test system

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OGNfxh
via Yuichun

Rutronik UK finds its place with Bluetooth 5.1 modules from Insight SiP

The Insight SiP ISP1907 series of Bluetooth Low Energy modules are based on Nordic Semiconductor’s nRF52 SoC and uses Bluetooth 5.1’s direction finding for object and ID tracking. The modules are available now from Rutronik UK. The modules are claimed to have a long battery life and are suitable for use in professional and private ...

This story continues at Rutronik UK finds its place with Bluetooth 5.1 modules from Insight SiP

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pzsOiF
via Yuichun

ISSCC 2021: THz chip spots objects in three dimensions

Princeton University has found a way to determine the direction to an object in three dimensions using only on-chip THz antennas with ~2°accuracy. It exploits frequency-dispersive leaky-wave antennas – end-fed strips, 1.7mm long, with transverse slots spaced at 43μm intervals. The crucial property of these, is that injecting a frequency between 360 and 400GHz into ...

This story continues at ISSCC 2021: THz chip spots objects in three dimensions

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3auhcsP
via Yuichun

2021年2月17日 星期三

UK cyber sector worth £8.9bn, says DCMS

A report from the Department for Digital, Culture, Media and Sport  (DCMS) shows almost 50,000 people are now employed in UK cyber security with the industry adding £4 billion to the economy, and attracting investment of  £800 million. The DCMS Annual Cyber Sector Report, which tracks the UK’s cyber security industry across a range of ...

This story continues at UK cyber sector worth £8.9bn, says DCMS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sh208P
via Yuichun

O-RAN test suite specs updated.

Viavi has released updates to its industry-first Test Suite for O-RAN Specifications. The O-RAN ALLIANCE’s specifications for open radio access networks are being adopted by operators and equipment manufacturers worldwide, to reduce infrastructure costs and lower the barrier to entry for new product innovation. The test suite has been augmented to include use cases of ...

This story continues at O-RAN test suite specs updated.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bi8ai0
via Yuichun

ISSCC 2021: Automotive processors for ADAS

Renesas has presented processor technologies for automotive SoCs used in applications such ADAS and autonomous driving systems to the ISSCC. Renesas’ development includes: 1) A convolutional neural network (CNN) hardware accelerator core that delivers a combination of deep learning performance of 60.4 trillion operations per second (TOPS) and a power efficiency of 13.8 TOPS/W; 2) ...

This story continues at ISSCC 2021: Automotive processors for ADAS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LZDMjG
via Yuichun

Axiom Space boosts station plans with $130m funding

Houston-based Axiom Space, which is aiming to develop the first commercial space station, has raised $130m in Series B funding. The financing will accelerate the growth of Axiom’s workforce and the construction of its privately developed space station, says the company. The idea is that Axiom Station will serve as a centre for commerce in ...

This story continues at Axiom Space boosts station plans with $130m funding

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3s4WohO
via Yuichun

Harwin adds to cable connectors

 Harwin has added 61 variants to its 2.00mm pitch Datamate high-reliability (Hi-Rel) connector assemblies enabling greater customer convenience. The additional cable assemblies cover male and female connections, with numerous contact count and cable length options available. These come in both single-ended and double-ended configurations and 150mm, 300mm and 450mm cable lengths can be supplied. Contact ...

This story continues at Harwin adds to cable connectors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ONsAYC
via Yuichun

Kyocera launches 0.5mm-pitch connectors

Kyocera has introduced a 0.5mm-pitch floating board-to-board connector series (F/P1 = 170%) for high-speed 16Gbps data transmission. Sold under the 5652 Series designation, the new connectors can float within ±0.85mm for easy and accurate mating.   The 5652 Series are Kyocera’s first connectors to use the new “FloXY” brand name, and the company plans to gradually expand ...

This story continues at Kyocera launches 0.5mm-pitch connectors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pxU0ON
via Yuichun

ISSCC 2021: Cryogenic CMOS for quantum computing

Quantum computing might be all about qubits, but something has to set up those qubits, nurture them, then take their decisions away once they have settled. With only a handful of qubits, it was possible to lead signals in from the outside world but, as qubit counts climb, good old reliable CMOS has been asked ...

This story continues at ISSCC 2021: Cryogenic CMOS for quantum computing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LXF8LM
via Yuichun

ISSCC2021: Artificial intelligence chips

At ISSCC a session was set aside for nine papers on machine leaning (ML) processors. This was a packed session, with nine papers, on chips from 5nm and 7nm processors for mobile and cloud use, to ultra-low-power application-specific accelerators for wearables. IBM and Samsung both presented ML processors for phones, where local AI processing will ...

This story continues at ISSCC2021: Artificial intelligence chips

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3arBp2p
via Yuichun

Storm shuts down Samsung Austin fab

Samsung  has stopped production at its Austin plant after power outages hit Texas and the authorities asked big users to cut back their  operations because of stormy weather. The city’s power company asked Samsung  to stop production yesterday  afternoon. “Due to the recent blackouts in Texas, Samsung Austin Semiconductor gradually halted its operations around 1p.m. ...

This story continues at Storm shuts down Samsung Austin fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3psZn1C
via Yuichun

ISSCC 2021: HBM with integrated AI processor

Samsung has developed a High Bandwidth Memory (HBM) integrated with AI processing power — the HBM-PIM. Announced at the ISSCC, the architecture brings AI computing capabilities inside high-performance memory, to accelerate large-scale processing in data centers, high performance computing (HPC) systems and AI-enabled mobile applications. “Our groundbreaking HBM-PIM is the industry’s first programmable PIM solution ...

This story continues at ISSCC 2021: HBM with integrated AI processor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37lMLDw
via Yuichun

2021年2月16日 星期二

Jha and Jacobs back SPARK

SPARK Microsystems, the five year-old Canadian UWB specialist, has raised CDN$17.5 million in a funding round in which Sanjay Jha and Paul Jacobs participated. Led by Cycle Capital, the round included new investors ND Capital a Silicon Valkey venture capital firm dedicated to disruptive technologies) and Export Development Canada, as well as existing investor Real ...

This story continues at Jha and Jacobs back SPARK

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37jVDcs
via Yuichun

NGMN Alliance urges finalisation Of Option 4 for 5G architecture

The Next Generation Mobile Networks (NGMN) Alliance has released its latest White Paper urging the development finalisation of 5G architecture “Option 4” to support the full realisation of 5G. Its Option 4 recommendation will help many networks to bring new 5G-based services quickly and efficiently to market whilst also providing a future-proof evolution that maintains competitive ...

This story continues at NGMN Alliance urges finalisation Of Option 4 for 5G architecture

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZmtwoC
via Yuichun

Proximity sensor claimed to be 30% smaller than the competition

ams is sampling a proximity sensor which is claimed to be 30% smaller than anything on the market. The sensor is aimed at True Wireless Stereo (TWS) earbuds. Proximity detection  enables an earbud to automatically power up when inserted in the ear and power down when removed, so minimising power use The sensor comes in ...

This story continues at Proximity sensor claimed to be 30% smaller than the competition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NDnygQ
via Yuichun

Intelsat agrees creditor support for halving of debt

Intelsat, the communications satellite services provider, has announced that the support of key creditors for a comprehensive financial restructuring of the company. The restructuring, it said, would reduce its debt by more than half, from nearly $15 billion to $7 billion, and better position it for long-term success. The negotiations have received the support – ...

This story continues at Intelsat agrees creditor support for halving of debt

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZlYhtR
via Yuichun

DAC date is Dec 2021

The DAC Executive Committee has announced that the Design Automation Conference will move from its summer date to 5-9 December 2021. It is hoped that the postponement will allow time for a vaccination rollout and travel restrictions to be eased for a ‘real life’ event to take place. At the moment, the venue, San Francisco’s ...

This story continues at DAC date is Dec 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3s0OPIU
via Yuichun

ISSCC 2021: Capacitance to digital conversion on 0.3V and

The National University of Singapore and Politecnico di Torino teamed up at ISSCC 2021 to describe a capacitive sensor interface designed to run from harvested energy, needing only a supply voltage between 300mV and 1.8V to produce an output accurate to seven bits, and consuming 1.4nJ per conversion. Not only that, but it needs no ...

This story continues at ISSCC 2021: Capacitance to digital conversion on 0.3V and <2nJ

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ONiF5r
via Yuichun

Don’t miss content, sign up for the Electronics Weekly email newsletters

If you are you too busy to keep checking our blogs and news section, the content can come straight to you by signing up for an Electronics Weekly email newsletter. We have a range of editorial newsletters you can sign up for: Mannerisms, Gadget Master, the Daily and the main Weekly newsletter. Sign up for your ...

This story continues at Don’t miss content, sign up for the Electronics Weekly email newsletters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OLMxir
via Yuichun

High-side current monitor is accurate for automotive

Microchip has introduced some high-side automotive current sense amplifiers, claiming that they have the lowest offset for AEC-Q100 Grade 0 qualified parts. Called MCP6C02, the Grade 0 part comes in a 3 x 3mm 8 pin VDFN package with wettable flank plating and has a maximum offset error of ±12µV, while the 6pin SOT-23 version is ...

This story continues at High-side current monitor is accurate for automotive

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OJkjF3
via Yuichun

Significant digital processors at ISSCC 2021

The IEEE International Solid-State Circuits Conference, known as ISSCC, is the worlds showcase for state-of-the-art on-chip circuit developments, both analogue and digital. Held annually at this time of year, it is usually held physically in San Francisco, allowing engineer to rub shoulders and network as well as learn. This year only, hopefully, ISSCC has gone ...

This story continues at Significant digital processors at ISSCC 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aqZoz4
via Yuichun

Significant 5G and radar chips at ISSCC 2021

The IEEE International Solid-State Circuits Conference, known as ISSCC, is the worlds showcase for state-of-the-art on-chip circuit developments, both analogue and digital. Held annually at this time of year, it is usually held physically in San Francisco, allowing engineer to rub shoulders and network as well as learn. This year only, hopefully, ISSCC has gone ...

This story continues at Significant 5G and radar chips at ISSCC 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jT28Ii
via Yuichun

2021年2月15日 星期一

VW blames module suppliers for interrupted car production

VW has hit out at its electronic module suppliers for mismanaging their chip supply chain which has held up VW’s vehicle production. Reuters quotes a company executive saying: “We have communicated our demand early on. We have passed on our forecasts which have confirmed that demand. If suppliers do not trust our numbers and consult ...

This story continues at VW blames module suppliers for interrupted car production

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Nd6aQ3
via Yuichun

Globalfoundries inks chip deal with US DoD

Globalfoundries has announced a partnership with the U.S. Department of Defense (DoD) to supply 45nm SOI ICs manufactured at GLoFo’s Fab 8 in Malta, New York. The agreement is made possible by Fab 8’s compliance with U.S. International Traffic in Arms Regulations (ITAR) and highly restrictive Export Control Classification Numbers under the Export Administration Regulations ...

This story continues at Globalfoundries inks chip deal with US DoD

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qrila7
via Yuichun

UK developers are the best paid in Europe

UK developers are the highest paid in Europe and the third highest tech earners globally, according to research by developer recruitment platform CodinGame. The average tech wage (in USD) in the UK ($64,315) is just ahead of the Netherlands ($64,045) with Germany ($58,503) making up the top three tech payers in Europe. Developer salaries in ...

This story continues at UK developers are the best paid in Europe

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jPiDW0
via Yuichun

Eutelsat chosen for European EGNOS GEO-4 positioning system

Eutelsat Communications has been chosen by the European GNSS Agency (GSA) for the development, integration and operation of its next-generation EGNOS GEO-4 service. This satellite-based augmentation system, which has been developed by the ESA (European Space Agency), supplements the accuracy of GPS data, for positioning information. EGNOS stands for European Geostationary Navigation Overlay Service, and ...

This story continues at Eutelsat chosen for European EGNOS GEO-4 positioning system

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZjdDzB
via Yuichun

C&K signs Astute

C&K, the electromechanical switch specialist, has signed  Astute Electronics to a distribution agreement covering Australia, Germany, Ireland, Israel, Turkey and the UK. Astute Electronics will support the entire C&K product offering, which includes more than 55,000 standard products and 8.5 million switch combinations. C&K products are used in a wide range of applications including automotive, ...

This story continues at C&K signs Astute

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qr97uz
via Yuichun

Crypto Quantique hooks up with Silex for IoT security

Silex Insight, the embedded security IP core developer, is partnering with Crypto Quantique, the specialist in quantum-driven cybersecurity for the IoT, to create an end-to-end IoT security solution. eSecure, Silex Insight’s Root-of-Trust IP module for systems-on-chip (SoCs), application specific integrated circuits (ASICs) and field programmable gate arrays (FPGAs), will be offered alongside Crypto Quantique’s universal ...

This story continues at Crypto Quantique hooks up with Silex for IoT security

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZgtfDV
via Yuichun

3 phase meter eval kit has 24bit ADC and calculation

ST is aiming at compliant 3 phase watt meters with an evaluation kit built around the STPMS2 double channel 24bit second-order ΣΔ modulator. Called EVALSTPM-3PHISO, it measures through a voltage divider and current shunt for each phase. “Sensing circuitry and PCB layout are optimised to maximise signal-to-noise ratio for accuracy,” said the company. The STPMS2 oversamples ...

This story continues at 3 phase meter eval kit has 24bit ADC and calculation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZjGjIw
via Yuichun

Infinitesima ships Rapid Probe Microscope for 3D characterisation of semiconductors

Infinitesima of Abingdon has shipped its first Rapid Probe Microscope system – RPM 3D – claimed to be the first RPM 3D system for delivering 3D metrology applications for characterisation of state-of-the-art semiconductor devices. The system was co-developed with Imec. The microscope is an enhanced version of the RPM already applied in Mask repair applications. ...

This story continues at Infinitesima ships Rapid Probe Microscope for 3D characterisation of semiconductors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dfmmuM
via Yuichun

Sondrel looking to hire 100+ engineers

Sondrel is looking for over 100 new engineers for its offices around the world. “A few years ago, it was becoming increasingly apparent to me that the traditional ways of working with staff commuting every day just to sit in an office or cubicle in front of a computer screen would become redundant,” says Graham ...

This story continues at Sondrel looking to hire 100+ engineers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3divfDJ
via Yuichun

Naka fab shut down after quake

Renesas shut down production at its Naka fab in the Ibaraki prefecture yesterday after the 7.3 earthquake which hit Japan. Power to the plant was maintained and the utilities were unaffected but the company said it had to close down production while the clean rooms were inspected. No date for re-starting production was given. After ...

This story continues at Naka fab shut down after quake

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jWz2Ib
via Yuichun

2021年2月14日 星期日

Qualcomm, MS and Google object to Nvidia-Arm takeover

Qualcomm, Google and Microsoft have objected to the US FTC about the Nvidia-Arm takeover. In the UK, Graphcore has made its objection to the deal to the to the UK Competition and Markets Authority. China is expected to be opposed to the deal as it may affect their mobile phone manufacturers and their aspirations in ...

This story continues at Qualcomm, MS and Google object to Nvidia-Arm takeover

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jPkIB4
via Yuichun

Superconducting nanowires could be used in circuits

MIT is to present a paper on how superconducting nanowires can be used in electronic circuits at the ISSCC later this month. Researchers from Karl Berggren’s group in MIT’s Department of Electrical Engineering and Computer Science have found that, although traditionally operated as single photon detectors, superconducting nanowires host a suite of attractive characteristics that have ...

This story continues at Superconducting nanowires could be used in circuits

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3plDmSk
via Yuichun

Glasgow University and Eli Lilly hook up to discover and validate drug targets

The University of Glasgow has entered into a research collaboration with pharmaceutical company Eli Lilly and Company, that aims to discover and validate the next generation of drug targets for immunological diseases. The £4.6million research collaboration, due to last four years, will work across four diseases – psoriatic arthritis, rheumatoid arthritis, fibrosis and vasculitis – ...

This story continues at Glasgow University and Eli Lilly hook up to discover and validate drug targets

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jRyEec
via Yuichun

Thales to build Telesat’s Lightspeed constellation

Thales Alenia Space is to build Telesat’s satellite broadband constellation, dubbed Lightspeed. It has signed an agreement with the satellite operator Telesat to be the prime contractor for the low Earth orbit (LEO) network. Initially, this will be comprising a fleet of 298 satellites in the 700-750 kg class. Thales Alenia Space will provide the ...

This story continues at Thales to build Telesat’s Lightspeed constellation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3po4ss5
via Yuichun

Small Robot Company exploits 5G

Small Robot Company (SRC), a British agritech start-up for sustainable farming, has joined the 5G RuralDorset project with Wessex Internet, Telint and Dorset Council. The project will develop rural-optimised 5G connectivity, with the world’s first 5G-ready agri-robot for arable farms. The resulting 5G blueprint will enable rapid deployment of 5G to rural areas.  This has ...

This story continues at Small Robot Company exploits 5G

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qngljn
via Yuichun

2021年2月12日 星期五

A good year for semiconductors

Semiconductor sales in 2020 were $439.0 billion, up 6.5% from $412.3 billion in 2019, according to WSTS, reports Bill Jewell’s Semiconductor Intelligence. By the middle of 2020, it became apparent the semiconductor industry would not as impacted by the pandemic as other sectors of the economy. The 4Q 2020 semiconductor market was up 3.5% from ...

This story continues at A good year for semiconductors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Za4Aki
via Yuichun

2021年2月11日 星期四

Tsinghua Unigroup looking to sell stake in Unisoc

Tsinghua Unigroup is reported to be looking to raise cash and one route its to sell a stake in Unisoc. Unigroup denies it is insolvent despite defaulting on three  bond payment deadlines and missing three interest payments. Recently two of Unigroup’s chip projects – a NAND fab in Chengdu and a DRAM fab in Chongqing ...

This story continues at Tsinghua Unigroup looking to sell stake in Unisoc

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rLqwhP
via Yuichun

US chip companies ask Biden for a handout

The SIA has sent a letter to President Joe Biden asking for government support for the semiconductor industry. Here is the letter: February 11, 2021 The Honorable Joe Biden President of the United States The White House 1600 Pennsylvania Ave., NW Washington, D.C. 20500 Dear Mr. President: As your administration formulates an economic recovery and ...

This story continues at US chip companies ask Biden for a handout

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qdM8TT
via Yuichun

Apple remains biggest buyer of semis

Apple  retained its No.1 slot as the biggest buyer of semiconductors last year, says Gartner. Apple purchases represented 11.9% of total semiconductor sales. The top 10 OEMs increased their semiconductor spend by 10% in 2020, and accounted for 42% of the total market, up from 40.9% in 2019. The top 10 companies in 2020 remained ...

This story continues at Apple remains biggest buyer of semis

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qim3Dc
via Yuichun

Nasa begins to replace the International Space Station

Elon Musk’s SpaceX has been chosen by Nasa to begin the replacement of the International Space Station with its successor, the Lunar Gateway. A Falcon Heavy rocket will carry – in 2024 – the first two elements to make up the Gateway, taking off from Launch Complex 39A at Nasa’s Kennedy Space Center in Florida. ...

This story continues at Nasa begins to replace the International Space Station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3b1JmdI
via Yuichun

Electronics Weekly announces Elektra Awards 2020 shortlists

Electronics Weekly is proud to reveal the shortlists for this year’s Elektra Awards, the industry’s largest technology and business awards. After a year of massive disruption across the world, the Elektra Awards 2020 are now going ahead as a free virtual event on March 25, 2021. Ticket information will be following shortly. Elektra Awards 2020 shortlists Categories ...

This story continues at Electronics Weekly announces Elektra Awards 2020 shortlists

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3tO3Wai
via Yuichun

Legislation for use of digital ID proposed

The government has today published its draft rules of the road for governing the future use of digital identities. It is part of plans to make it quicker and easier for people to verify themselves using modern technology and create a process as trusted as using passports or bank statements. The public are invited to ...

This story continues at Legislation for use of digital ID proposed

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aSFn3e
via Yuichun

2021年2月10日 星期三

Top 5 hold 54% of worldwide capacity

The combined capacity of the top five companies represented 54% of total global wafer capacity in December 2020, up one point from 53% in 2019, says IC Insights.  For comparison, in the year 2009, the top 10 wafer capacity leaders held 54% of total global capacity and the top five leaders accounted for 36% of ...

This story continues at Top 5 hold 54% of worldwide capacity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LDe1FE
via Yuichun

Siemens and ASE assist choice of packaging and interconnect

Siemens Digital Industries Software today announced that its collaboration with Advanced Semiconductor Engineering, Inc. (ASE) has generated two new enablement solutions engineered to help mutual customers create and evaluate multiple complex IC package assemblies and interconnect scenarios. The high-density advanced packaging (HDAP) enablement solutions stem from ASE’s participation in the Siemens OSAT Alliance – a ...

This story continues at Siemens and ASE assist choice of packaging and interconnect

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pa9ecC
via Yuichun

Imec uses 13.5nm High Harmonic Generation source to print 20nm line pitch

Imec reports the use,  for the first time, of a 13.5 nm High Harmonic Generation source for the printing of 20nm pitch line/spaces using interference lithographic imaging of an Inpria metal-oxide resist under high-numerical-aperture (high-NA) conditions. The demonstrated high-NA capability of the EUV interference lithography using this EUV source presents an important milestone of the ...

This story continues at Imec uses 13.5nm High Harmonic Generation source to print 20nm line pitch

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z5IUps
via Yuichun

Hydrogen ambulances for NHS

ULEMCo, the UK hydrogen fuel pioneer, and their partners have delivered the first significant milestone to create the zero emission ambulance of the future. The project, named ZERRO for Zero Emission Rapid Response Operations ambulance, is funded by the Office for Zero Emission Vehicles (OZEV), and aims to deliver a prototype zero emission ambulance for ...

This story continues at Hydrogen ambulances for NHS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jETHAq
via Yuichun

UK School Robot Competition 2020 won by AR robot Aspiration

For this year’s School Robot Competition, the EPSRC UK Robotics & Autonomous Systems (UK-RAS) Network and educational publisher Twinkl asked school groups to design a space explorer robot. Despite lockdown, the competition attracted a record number of entries and the overall winners are team ‘Aspiration’, from St Bernard’s Catholic Grammar in Slough. Their AR robot ...

This story continues at UK School Robot Competition 2020 won by AR robot Aspiration

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/375wPou
via Yuichun

How about an electrochromic display?

Canadian company Ynvisible is offering custom electrochromic displays on thin flexible substrates. This is a new spin on an existing technology, characterised by low power consumption and image retention for tens of minutes after power is removed. It works by driving ions in and out of the visible surface to change its colour, using a ...

This story continues at How about an electrochromic display?

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z2b2cT
via Yuichun

Compact module adds RF remote mains control to… …nearly anything

RF Solutions has created a single-channel remote control problem-solver that can switch up to 1kW of mains load. Called RF Ferret, it has a 21 x 76mm footprint and uses change-over relay as an output. There are three RF Ferrets: Ferret-4R1 433MHz 6-24V Ferret-8R1 868MHz 6-24V Ferret-9R1 918MHz 12V All can be switched between momentary and ...

This story continues at Compact module adds RF remote mains control to… …nearly anything

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rG0tst
via Yuichun

Better 40V and 60V p-channel mosfets for high-side switching

Rohm is aiming at 24V high-side switching applications with a range of 40V and 60V p-channel singe and dual mosfets. “These products utilise Rohm’s 5th gen refined process to achieve a finer gate structure and higher current density than Rohm’s conventional products, resulting in the class-leading low on-resistance per unit area for 24V input -40V ...

This story continues at Better 40V and 60V p-channel mosfets for high-side switching

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MJoIHz
via Yuichun

Gaggione simplifies fitting of LLC25x collimators

Gaggion has removed the moulding sprue from the alignment edge of its LLC25x colour-mixing collimator range, so they will now sit flush on a flat mounting annulus, with no need for a notch. These are 32mm optics, with non-chip-imaging characteristics to create even beams from single or multi-chips RGBW, tunable white or standard white leds. See ...

This story continues at Gaggione simplifies fitting of LLC25x collimators

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a5VrQ0
via Yuichun

QuickLogic launches Qomu development kit

QuickLogic has introduced its Qomu development kit, a tiny form factor Arm Cortex-M4F MCU + eFPGA combination that fits into a USB Type A port. Optimised for the QuickLogic Open Reconfigurable Computing (QORC) initiative, the kit is supported by a wide variety of vendor-supported open source development tools, including Zephyr, FreeRTOS, SymbiFlow and Renode, which ...

This story continues at QuickLogic launches Qomu development kit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a5zVuI
via Yuichun

2021年2月9日 星期二

SECO to IPO

SECO, the embedded specialist, is to IPO  on the Mercato Telematico Azionario managed by Borsa Italiana (“MTA”) as part of the market’s Star Segment. “By going public, we aim to raise the financial resources to further accelerate our growth and reinforce our international presence,” says CEO Massimo Mauri (pictured), “over the course of 2020 we ...

This story continues at SECO to IPO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3728VKO
via Yuichun

Major changes in IC manufacturing base.

The IC market enjoyed a double-digit increase in sales in 2020 and is forecast to see double-digit revenue gains each year through 2023, says IC Insights, so it is not surprising that fab capacity is on the rise to support this growth. Global wafer capacity growth in 2020 was the strongest since 2011 despite the pandemic.  The forecast calls ...

This story continues at Major changes in IC manufacturing base.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MIzHkx
via Yuichun

Leti launches 6G research project

CEA-Leti has announced a 6G research project called RISE-6G. It will design, prototype and test smart and energy-sustainable technological advances based on reconfigurable intelligent surfaces (RIS) that will enable programmable control and shaping of the wireless propagation environment. These surfaces may be diode-based antennas or metamaterials for coating objects in the environment, such as walls, ...

This story continues at Leti launches 6G research project

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rJfnhH
via Yuichun