2022年2月28日 星期一

ISSCC 2022: MEMS senses zeptograms

‘Zeptogram’ caught the eye at ISSCC 2022 conference last week, as researchers from French lab CEA-Leti produced a mass sensor with 170zg resolution for weighing molecules. Not only did they make one such sensitive weighing scale, but 1,024 of them in an array which can be scanned at high speed. The weighing part had been ...

This story continues at ISSCC 2022: MEMS senses zeptograms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RcQY2Vv
via Yuichun

Arm clears out top management

Four out of the top seven executives of  Arm have now left the company following a clear-out by new CEO Rene Haas. Former CEO Simon Segars was replaced innediately after Softbank announced the failure of the Nvidia  bid. Now CTO Dipesh Patel,  Carolyn Herzog, the head of the legal team and chief strategy officer Jason ...

This story continues at Arm clears out top management

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/N6D8dCU
via Yuichun

Intel chooses Magdeburg for European fab site

Intel has chosen Magdeburg in eastern Germany as its European fab site, reports Reuters. It is Intel’s third announcement of a potential multi-fab site in a year.  Last March it announced  it would build two  fabs un Arizona, and in January it announced a multi-fab site in Ohio. Intel has indicated in the past that ...

This story continues at Intel chooses Magdeburg for European fab site

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/STLoWig
via Yuichun

NTT-DOCOMO to present on O-RAN at MWC

Executives from NTT-DOCOMO will give live presentations on O-RAN at MWC in Barcelona this week: Seizo Onoe, Chief Standardization Strategy Officer of NTT CORP. and Fellow of NTT DOCOMO, will make a presentation during OpenRAN: A Vision of 5G & the Future of 6G at MWC Stage A in Hall 5 on Monday, February 28 from 13:15–14:15 ...

This story continues at NTT-DOCOMO to present on O-RAN at MWC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Q5UsGM2
via Yuichun

TIP publishes O-RAN roadmap

The Telecom Infra Project (TIP) OpenRAN Project Group has just published its Release 2 Roadmap Document after conducting a thorough industry review with input from both the supply and the demand sides –  including some of the world’s largest operators. Last June, TIP published the ‘Open RAN Technical Priorities Document’ – a comprehensive list of technical requirements that the signatories ...

This story continues at TIP publishes O-RAN roadmap

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/blHf4PN
via Yuichun

2022年2月27日 星期日

Intelsat exits Chapter 11 two years on, halving debt

Intelsat has officially completed its almost two-year-long financial restructuring process, having filed a voluntary Chapter 11 petition in the U.S. Bankruptcy Court for the Eastern District of Virginia in 2020. The final milestone was receipt of regulatory approvals, completion of certain corporate actions, and satisfaction of other customary conditions, it has announced. The reorganisation has ...

This story continues at Intelsat exits Chapter 11 two years on, halving debt

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/HlkhFmy
via Yuichun

2022年2月25日 星期五

ISSCC: UK-made bendable 6502 MCU has 16,000 thin-film transistors

The fastest bendable 8bit thin-film microcontroller was describe at the International Solid-State Circuits Conference this week. Designed by Belgian research lab Imec and nearby university KU Leuven, it was made by UK-based PragmatIC Semiconductor. Called Flex6502, is has 16,000 0.8µm gate-length IGZO (indium gallium zinc oxide) transistors over a 4.5 x 5.5mm active area (see photo, ...

This story continues at ISSCC: UK-made bendable 6502 MCU has 16,000 thin-film transistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lKPRHk0
via Yuichun

Automotive three-terminal capacitor packs 4.3μF into 0.5mm2

Murata has managed to compress 4.3μF into a three-terminal 0402 (1005 metric) package, and qualified it for automotive use. This is the surface-mount equivalent of a feed-through capacitor, with the end terminals connected together by a low-resistance direct path. This path is one end of the capacitor, whose other end is to be grounded via ...

This story continues at Automotive three-terminal capacitor packs 4.3μF into 0.5mm2

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/TqyE3AO
via Yuichun

Picocom chip adopted by BLiNQ

Picocom, the Bristol 5G O-RAN baseband specialist, has had its silicon designed in by BLiNQ Networks, the US CBRS fixed and mobile wireless equipment supplier. BLiNQ will design Picocom silicon and software into its 5G O-RAN small cell distributed unit (DU) board. The agreement to buy silicon and license Picocom’s 5G NR PHY Layer software products ...

This story continues at Picocom chip adopted by BLiNQ

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/EUOfpXw
via Yuichun

Kioxia sampling 256GB UFS NAND supporting MIPI M-PHY v5.0

Kioxia is sampling a 256GB NAND flash UFS memory supporting MIPI M-PHY v5.0. The eventual line-up will  include 128GB, 256GB abd 512GB devices. UFS (MIPI M-PHY 5.0 has a theoretical interface speed of up to 23.2Gbps per lane (x2 lanes = 46.4Gpbs) in HS-Gear5 mode. Sequential read and write performance of the 256GB device is ...

This story continues at Kioxia sampling 256GB UFS NAND supporting MIPI M-PHY v5.0

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/64YK9GA
via Yuichun

Short version of binder’s M16 series 423 aimed at space-critical applications

Binder, the circular connector specialist, has released short versions of its M16 series 423, aimed at use in space-critical applications, including harsh conditions. The shieldable circular connectors with screw locking that meet the IP67 acquirements when mated are suitable for typical, interference-prone industrial environments, says the company. With a length of approximately 47 mm, they ...

This story continues at Short version of binder’s M16 series 423 aimed at space-critical applications

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4ACehkf
via Yuichun

2022年2月24日 星期四

Smiths launches blind-mate optical interconnect system

Smiths Interconnect has launched its LightCONEX optical plug-in and backplane module connectors compatible with the VITA 66.5 draft standard and aligned with the SOSATM Technical Standard for VPX systems within rugged embedded computing applications and sensor systems. The Sensor Open Systems Architecture (SOSATM) Consortium is developing a common framework for transitioning sensor systems to an ...

This story continues at Smiths launches blind-mate optical interconnect system

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/IuDPpKM
via Yuichun

ISSCC: GaN PSU switches 400V at 50MHz

A blisteringly fast 400V monolithic gallium nitride power buck converter featured at the International Solid-State Circuit Conference this week. Its figures speak for themselves: 50MHz operation, sub-200ps dead-time, and 120V/ns slew rate at the switching node. The child of National Chiao Tung University and Realtek Semiconductor, both in Taiwan, the IC is all GaN-on-silicon, combining a ...

This story continues at ISSCC: GaN PSU switches 400V at 50MHz

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WyhFpL1
via Yuichun

MPUs to grow 7% in 2022

MPU sales are expected to rise 7% this year with cellphone processors increasing 10% following a 31% jump in 2021, says IC Insights, with modest growth expected in computer CPUs and embedded MPUs. Total microprocessor sales in 2021 maintained strength, growing 14% to a record-high $102.9 billion after climbing 16% in 2020, when pandemic lockdowns ...

This story continues at MPUs to grow 7% in 2022

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/IroFhLv
via Yuichun

Audis run on veg oil

Audi has adapted its models with V6 engines to run on hydrotreated vegetable oil (HVO). Renewable fuels, or reFuels,  are a short-term means of defossilisatio until EVs take over. Audi models with V6 diesel engines up to and including 210 kW (286 PS) that were made from mid-February onwards  can be filled up with the ...

This story continues at Audis run on veg oil

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/P7iYg43
via Yuichun

Viavi enhances O-RAN testing portfolio

Viavi has announced  extensions to its end-to-end test and assurance solutions for O-RAN. These are: ·       RIC Test. TeraVM RIC Testvalidates the performance of the RAN Intelligent Controller (RIC) when presented with a wide range of RAN scenarios, tests the functionality of rApps and xApps, and provides the means to generate the data needed to train ...

This story continues at Viavi enhances O-RAN testing portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/BrO28Fb
via Yuichun

2022年2月23日 星期三

Webinar: Digi-Key, Siemens on Reliable Power Supplies for Automation and Control Systems

Digi-Key Electronics, in collaboration with Siemens, will host an online webinar about power reliability for automation and control systems. It takes place on Tuesday, 8 March, at 11 a.m. (CST) and will be presented by Greg Kateb, SITOP power product consultant for Siemens. Spcifically, Kateb will address a variety of scenarios that a power system ...

This story continues at Webinar: Digi-Key, Siemens on Reliable Power Supplies for Automation and Control Systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/f3gEXpZ
via Yuichun

ISSCC: Cunning one-chip mains PSU needs only external passives

At ISSCC 2022, the Leibniz University of Hannover presented a novel 50-60Hz universal mains ac-dc power supply that has almost all of its components on a single 3 x 7mm 0.18μm silicon-on-insulator die. The only external components are the output inductor and capacitor, both low-voltage parts. It can produce an adjustable 3.3 to 10Vdc from ...

This story continues at ISSCC: Cunning one-chip mains PSU needs only external passives

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Zibej3m
via Yuichun

Silicon anode material licenced from Nexeon

Oxfordshire silicon anode firm Nexeon has has licensed its NSP-1 material to Korean giant SKC, an investor in Nexeon. “Silicon-graphite hybrid electrodes are now delivering superior performance in hybrid and EV vehicles,” said SKC v-p Junghwan Shin. This arrangement follows the investment and strategic partnership announced between the two companies in January, and represents further ...

This story continues at Silicon anode material licenced from Nexeon

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/S1uBjIG
via Yuichun

NEC Converged Core available to facilitate O-RAN

NEC has announced the general availability of its 4G/5G Converged Core for 4G and 5G in standalone (SA) and non-standalone (NSA) configurations. The NEC Converged Core uses a cloud-native, fully containerised microservice architecture and can be deployed in a traditional private core, on premise, or in the cloud via public cloud or hybrid scenarios. NEC is helping to lead ...

This story continues at NEC Converged Core available to facilitate O-RAN

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6rZaCzK
via Yuichun

Government earmarks £7m for new storage technologies

Today £6.7  million of government funding has been announced by the Department for Business, Energy and Industrial Strategy to fund projects across the UK to support the development of new energy storage technologies.p is 24 projects based across the UK have been awarded the first round of funding through the ‘Longer Duration Energy Storage competition’, ...

This story continues at Government earmarks £7m for new storage technologies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oK8kGS
via Yuichun

NXP launches S32G GoldVIP pre-integrated software for EV development

NXP Semiconductors has launched the S32G GoldVIP to help address real-time and application development challenges of software-defined vehicles using S32G vehicle network processors. This vehicle integration platform offers multiple value propositions for S32G processor evaluation, software development and rapid prototyping efforts. Users can observe S32G performance out-of-the-box with real-time use cases and resource monitoring. Pre-integration ...

This story continues at NXP launches S32G GoldVIP pre-integrated software for EV development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3uKqCxt
via Yuichun

2022年2月22日 星期二

Space Scotland seeking Space Sustainability and Net Zero Roadmap

Space Scotland is producing a Space Sustainability and Net Zero Roadmap, with the goal of making Scotland’s space progress as green as possible. In collaboration with AstroAgency and Optimat, and supported by Scottish Enterprise, Space Scotland expects the roadmap to be endorsed by commercial, governmental and academic partners in the space industry. “From greener rocket ...

This story continues at Space Scotland seeking Space Sustainability and Net Zero Roadmap

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bcYxUHC
via Yuichun

ISSCC 2022: Machine learning processors

It takes a lot of effort to avoid machine learning processors at this year’s IEEE International Solid-State Circuits Conference, as they popped up not only amongst digital processing papers, but also next to memory and analogue papers. For example, of all the thousands of companies designing ICs around the world, only four were invited to ...

This story continues at ISSCC 2022: Machine learning processors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/CRfVFS1
via Yuichun

Isolated gate driver works up to 2.3kV

Infineon has created a family of single-channel isolated gate driver for IGBTs and silicon carbide mosfets with up to 300kV/μs common-mode transient immunity and up to +6  and -8.5 A typical peak current. Called 1ED332x, and branded ‘F3 Enhanced’, they come in 300mil wide DSO 16 packaging with 8mm creepage distance. Operation is expected with devices ...

This story continues at Isolated gate driver works up to 2.3kV

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YTlyz3N
via Yuichun

Connector sales hit record high, says ITSA

UK connector sales hit record numbers in 2021, as the sector enjoyed what has been described as “a bumper year,” by John Biggs, Chairman of the Interconnect Technology Suppliers Association (ITSA). On average ITSA members reported 2021 sales up over 17% on 2020, and revenues back at 5% over pre-pandemic levels. Outstanding revenue growth of ...

This story continues at Connector sales hit record high, says ITSA

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/QIVpq8k
via Yuichun

Intel open to joining consortium to buy Arm

Intel would be interested in joining a consortium  to buy Arm if one were to be formed, says CEO Pat Gelsinger (pictured). “We’re not big users of Arm, but we do use Arm,” Gelsinger told Reuters, “we’re going to get to be bigger users of Arm as we make it part of our IFS agenda ...

This story continues at Intel open to joining consortium to buy Arm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/8JZhDp7
via Yuichun

Rohde & Schwarz and Viavi team up for O-RAN interoperability testing

 Rohde & Schwarz (R&S) and Viavi  have got together  to offer an integrated solution for conformance testing of O-RAN Radio Units (O-RUs). Network disaggregation brings new challenges in terms of interoperability between the network equipment of dif­ferent vendors. Fronthaul conformance tests, defined by the O-RAN ALLIANCE, ensure that the evolving O-RUs are interoperable with the ...

This story continues at Rohde & Schwarz and Viavi team up for O-RAN interoperability testing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/uRtGbZH
via Yuichun

2022年2月21日 星期一

Satellite Vu trusts SpaceX for thermal imaging satellite launch

The UK satellite company Satellite Vu, which aims to monitor the thermal footprint of any building on the planet, has turned to SpaceX for the launch of what it describes as the world’s highest resolution thermal imaging satellite. It has signed a deal with Elon Musk’s company to launch the satellite in early 2023. This ...

This story continues at Satellite Vu trusts SpaceX for thermal imaging satellite launch

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/gHyh85x
via Yuichun

Automotive MCUs for SiC charging

STMicroelectronics has announced a microcontroller for electric vehicle on-board chargers, particularly those using silicon carbide power components. “Typical automotive MCUs in the market today cannot execute the charging-control algorithms at a rate that can support the higher SiC switching frequencies,” claimed ST. “Instead, additional DSP chips are needed specifically to handle the control loop.” The ...

This story continues at Automotive MCUs for SiC charging

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cIZSWvF
via Yuichun

Intel sets out its stall

Intel laid down some product targets at its Investor Day. In the Xeon range, starting in Q1 2022, the company will deliver Sapphire Rapids on Intel 7, bringing performance improvements across a range of workloads and targeting up to a 30x performance increase in AI alone. Coming in 2023 is Emerald Rapids, the next-generation Xeon processor ...

This story continues at Intel sets out its stall

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LuSqYWN
via Yuichun

Lockheed Martin to prototype next-generation US Marine 5G comms

The US Department of Defense (DOD) has awarded Lockheed Martin a $19.3m contract to create a 5G communications network infrastructure testbed for the US Marine Corps. The testbed will be known as OSIRIS (Open Systems Interoperable and Reconfigurable Infrastructure Solution) and is part of the company’s “5G.MIL” programmes. These look to support the rapid integration ...

This story continues at Lockheed Martin to prototype next-generation US Marine 5G comms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Abzs7Kr
via Yuichun

2022年2月20日 星期日

Infineon upgrades Class D audio amplifier

Infineon has introduced the MERUS 2-channel, analog input, class D audio amplifier multichip module (MCM) MA5332MS. In the 100-400 W/channel range, the module is suitable for consumer products including home theaters in a box, soundbars, subwoofers, and mini-component systems. It is suited for professional applications like active speakers, active studio monitors, guitar amplifiers, aftermarket car, ...

This story continues at Infineon upgrades Class D audio amplifier

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0U6H7QA
via Yuichun

2022年2月19日 星期六

Magnachip in volume production of OLED DDICs

Magnachip  has commenced full-scale mass production of an OLED DDICs. Magnachip developed a DDIC product for UHD OLED TVs based on enhanced high-voltage analogue process technology and began initial mass production of it at the end of last year. The DDIC supports a maximum of 960 source output channels, and is coupled with a Chip ...

This story continues at Magnachip in volume production of OLED DDICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/x7orFjl
via Yuichun

2022年2月18日 星期五

Low power and quick accurate low-voltage op-amps from Maxim

Maxim has quietly released a couple of op-amp families, one very low power and the other blending high speed, precision and low noise. MAX40023 and MAX40024 are single and dual amplifiers that will run from 1.6 to 3.6V, typically for 17μA per channel. A shut-down pin typically takes this to 55nA. Both inputs and outputs swing ...

This story continues at Low power and quick accurate low-voltage op-amps from Maxim

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/U4smdrx
via Yuichun

High-power IR LEDs from Vishay

Vishay has released a family of six high-power infra-red emitters in 3.4 x 3.4mm lead-less packages. They are “designed with a 42mil chip for 1.5A dc operation, with  support for pulsed currents up to 5A”, according to New Yorker Electronics, which is stocking the parts. They come in two wavelengths and three viewing angles. The parts ...

This story continues at High-power IR LEDs from Vishay

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aoyLz8A
via Yuichun

TT Electronics launches new resistor family

TT Electronics has announced its LRMAP4026 series low resistance metal alloy power resistors designed for power supply, motor drive, and battery monitoring applications. This resistor series provides four-terminal Kelvin precision and high current capacity to all industrial applications, including automotive. Because four-terminal Kelvin connections improve precision, only a small portion of a design’s error budget ...

This story continues at TT Electronics launches new resistor family

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ZgViHc
via Yuichun

DRAM well-stocked and getting cheaper

Well-stocked OEMs contributed to a drop in Q4 DRAM shipments, says TrendForce, with PC OEMs holding more than 10 weeks of DRAM inventory. Most DRAM fabs experienced a drop in shipments in Q4 and a downward trend in DRAM price quotations. Total 4Q21 DRAM output value decreased by 5.8% QoQ, reaching $25.03 billion, with only a few ...

This story continues at DRAM well-stocked and getting cheaper

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/qm9toFK
via Yuichun

Digi-Key partners with SPARK Microsystems for UWB comms

Digi-Key Electronics has announced a global distribution partnership with SPARK Microsystems, the ultra wideband specialist, to offer its ultra-low power wireless communications ICs. Described as next-generation ultra-wideband wireless transceivers, the products target personal area networks and IoT-connected devices. For example, the SPARK SR1000 UWB IC family (pictured) support data rates of up to 10 Mbps ...

This story continues at Digi-Key partners with SPARK Microsystems for UWB comms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DfzlNop
via Yuichun

2022年2月17日 星期四

GaN-on-Si HEMT for mobile devices

Innoscience Technology, which was founded to pursue high performance, cost-effective Gallium Nitride on Silicon (GaN-on-Si) power ICs, has come up with a 40V bi-directional GaN-on-Si enhancement mode HEMT for mobile devices, including laptops and cellular phones. The INN40W08 HEMT has been developed using the company’s InnoGaN technology which features ultra-low on resistance. “ Innoscience  now ...

This story continues at GaN-on-Si HEMT for mobile devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/FQePJNA
via Yuichun

500W ac-dc PSUs

Mornsun’s LMF500-20Bxx series of 500W ac-dc PSUs are available from Relec. The units have a universal input of 80 – 264Vac “and dual use of the same terminal enables it to also accept 110 – 370Vdc”, according to the Dorset-based distributor. There are six models, all with built-in power factor correction, offerign a choice of output ...

This story continues at 500W ac-dc PSUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/dKqRHBv
via Yuichun

High-side mosfet load switch driver is 1.2 x 0.8mm

Toshiba has introduced a mosfet load switch gate driver in a 1.2 x 0.8 x 0.35mm 6bump WCSP6G the chip-scale package, suiting it to wearables and smartphones, it said. The IC, called TCK421G, can separately drive the gates of a pair of back-to-back n-channel mosfets to make a reverse-blocking switch – useful when multiplexing power sources. If ...

This story continues at High-side mosfet load switch driver is 1.2 x 0.8mm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cjHEXOJ
via Yuichun

Future Horizons wins SI best 2021 forecast award

The global semiconductor market in 2021 was $555.9 billion, according to WSTS data released by the Semiconductor Industry Association (SIA). 2021 increased 26.2% from 2020, the largest annual increase since 31.8% in 2010, eleven years ago. The winner for the best forecast for 2021 is Future Horizons with an 18% forecast made in January 2021. ...

This story continues at Future Horizons wins SI best 2021 forecast award

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/QnMJRYu
via Yuichun

EnSilica IC monitors vital signs

EnSilica has today announced the ENS62020, an ultra-low-power healthcare sensor interface IC for monitoring vital signs in wearable healthcare and medical devices. The chip, which will be initially available with evaluation boards, has been created to meet the needs of a diverse array of home-use and single-use medical sensors – from oximeters to smart plasters ...

This story continues at EnSilica IC monitors vital signs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ebcQhrC
via Yuichun

Nvidia and JLR hook up

Jaguar Land Rover has formed a multi-year strategic partnership with Nvidia to jointly develop and deliver next-generation automated driving systems plus AI-enabled services and experiences for its customers. Starting in 2025, all new Jaguar and Land Rover vehicles will be built on the Nvidia DRIVE software-defined platform — delivering a wide spectrum of active safety, ...

This story continues at Nvidia and JLR hook up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bOH1XEg
via Yuichun

UK cyber sales worth £10.5bn, says DCMS

The UK’s 1,800 cyber security firms generated a total of £10.1 billion in revenue in the most recent financial year, a 14% increase from the previous financial year, says The DCMS Annual Cyber Sector Report. The sector contributed around £5.3 billion to the UK economy in 2021, rising by a third on the previous year from ...

This story continues at UK cyber sales worth £10.5bn, says DCMS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/T2SaVwZ
via Yuichun

Nasa to invest another $200m to help new technologies to market

Nasa has further boosted its Tipping Point initiative – with additional funding of up to $200 million – to support the development of new technologies for space. The aim is to both foster the growth of commercial opportunities and benefit future missions for the agency. NASA says it is also offering businesses a chance to ...

This story continues at Nasa to invest another $200m to help new technologies to market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/NnUrh5v
via Yuichun

2022年2月16日 星期三

TSMC Arizona plant delayed

Construction of  TSMC’s plant in Arizona is taking longer than expected. TSMC had originally planned to start moving  equipment into the plant by September this year, but the company is reported to have told suppliers that deadline will be pushed back to February or March 2023. The TSMC project was announced in May 2020, and ...

This story continues at TSMC Arizona plant delayed

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/23T7eXn
via Yuichun

Space Foundation launches Space Commerce Institute

Space Foundation has launched the Space Commerce Institute, a programme offered by its Center for Innovation and Education for university students, entrepreneurs, businesses and professionals. Its goal is to “facilitate access and growth” within the space sector – allowing participants in the Institute to learn from experts in the field. Founded in 1983, and headquartered ...

This story continues at Space Foundation launches Space Commerce Institute

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/FbGilZU
via Yuichun

Infineon IC addresses post-quantum security

Infineon has brought out a chip  for post-quantum cryptography (PQC) using XMSS signatures called OPTIGA TPM (Trusted Platform Module) SLB 9672. This mechanism counteracts the threat of firmware corruption by attackers with access to quantum computers and increases long term survivability of the device by enabling a quantum-resistant firmware upgrade path. The standardised, out-of-the-box TPM ...

This story continues at Infineon IC addresses post-quantum security

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/nm5c6b1
via Yuichun

Wise-integration signs EDOM for Asian markets

Wise-integration, the GaN power supply specialist which span out of CEA-Leti two years ago, has signed up Asian distributor EDOM Technology. The deal will promote Wise-integration’s GaN power transistor and digital control capabilities in the Asian market. In addition, Wise-integration and EDOM Technology will collaborate on  GaN design using Wise-integration’s WiseGan portfolio and WiseWare digital ...

This story continues at Wise-integration signs EDOM for Asian markets

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/17SmLxn
via Yuichun

2022年2月15日 星期二

UK made: Powell stocks Glenair shrink boots and sleeves

Powell Electronics is stocking Full Nelson heat shrink boots and AutoShrink cold-action shrink boots and sleeves from Glenair in Dulin for short lead times across Europe. Operation temperature is -75 to 175°C for heat shrink boots, and -65 to 300°C for cold-action shrink boots and sleeves. Both product ranges are lightweight and flexible, and neither ...

This story continues at UK made: Powell stocks Glenair shrink boots and sleeves

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/tXBo0xM
via Yuichun

‘Smallest’ SD card level translator

Nexperia is claiming to have developed the world’s smallest SD Card level translator IC, housed in a 1.45 x 1.45 x 0.45mm 16bump wafer-level chip-scale package with a bump pitch of 0.35mm. Called NXS0506UP, it can be clocked at up to 208MHz (104Mbit/s) and is an SD 3.0-compliant bi-directional dual voltage level translator with auto-direction control, ...

This story continues at ‘Smallest’ SD card level translator

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/f7W9thx
via Yuichun

4kW hot swap PSUs for LED lighting

Advanced Energy of Colorado has introduced single-phase hot-swappable PSUs up to 4kW for horticultural and commercial LED lighting. “Indoor growers are turning to centralised power systems that distribute dc rather than ac power directly to individual LED lamps”, said company v-p of industrial power Joe Voyles. The supplies, called the LCM4000HV series, are compliant with ...

This story continues at 4kW hot swap PSUs for LED lighting

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lLWdpM8
via Yuichun

2021 a record for units and revenues, says SIA

Semiconductor sales totalled $555.9 billion in 2021, the highest-ever annual total and an increase of 26.2% compared to the 2020 total of $440.4 billion, says the SIA. The industry shipped a record 1.15 trillion semiconductor units in 2021, as chip companies ramped up production to address high demand amid the global chip shortage. Global sales ...

This story continues at 2021 a record for units and revenues, says SIA

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/txiQN6U
via Yuichun

Infineon raises €500m by selling bond

Infineon has sold a corporate bond worth €500 million under its EMTN (European Medium Term Notes) programme. The placement was multiple times over-subscribed. The money raised will go to paying down bank loans taken out to buy Cypress Semiconductor. In June 2020, Infineon put corporate bonds worth €2.9 billion into its EMTN programme. The bond ...

This story continues at Infineon raises €500m by selling bond

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/rEjKGvS
via Yuichun

Apprentices earn shortlist place in UK government’s Nanosat Design Competition

The shortlist for the UK Government’s Nanosat Design Competition has been announced, with five teams – from apprentices to grammar school and university students – competing for a share of the £600,000 Challenge Fund. It was launched in November 2021, with aspiring space scientists invited to design a small satellite for launch, with climate change ...

This story continues at Apprentices earn shortlist place in UK government’s Nanosat Design Competition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/o1K3SPD
via Yuichun

2022年2月14日 星期一

Type 6 COM Express with 12th generation Intel Core

Avnet Embedded is offering Intel’s Alder Lake H 12th generation Core processors in a series of Type 6 COM Express Basic modules. Called MSC C6B-ALP, typical applications for the 125 x 95mm boards will be automation, machine vision, AI, medical equipment, gaming, video processing and security systems, it said. Intel has split cores into two types ...

This story continues at Type 6 COM Express with 12th generation Intel Core

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/yMOLTcU
via Yuichun

Docking connector copes with 2mm of misalignment

German connector maker ODU has introduced a modular connector for docking systems that can handle ±4mm of radial play to allow for misalignment. 1mm of axial compensation is also available, as is optional grounding up to 10mm2. Called P4+, and part of its Silver-Line product family, the frame “ensures a durable interface for at least ...

This story continues at Docking connector copes with 2mm of misalignment

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VEYhROf
via Yuichun

WDC/Kioxia fab contamination could lead to spike in Q2 NAND price

The Q2 flash price could spike 5-10% as a result of the contamination at the Yokkaichi and Kitakami fabs of Western Digital/Kioxia, says TrendForce The contaminated products in this incident are concentrated in 3D NAND (BICS) with an initial estimate of 6.5exabytes (approximately 6,500M GB) affected. According to TrendForce, damaged bits account for 13% of ...

This story continues at WDC/Kioxia fab contamination could lead to spike in Q2 NAND price

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ri4hjA9
via Yuichun

Showa Denko uses quantum tech to reduce formulation of optimal semiconductor materials from years to seconds

Showa Denko has demonstrated the ability of quantum computing technology to accelerate the exploration of the optimal formulation of semiconductor materials, reducing the exploration time from dozens of years  to dozens of seconds. Image of optimization of semiconductor materials formulation Semiconductor materials contain numerous ingredients such as resins, fillers, and additives in various mixing ratios, ...

This story continues at Showa Denko uses quantum tech to reduce formulation of optimal semiconductor materials from years to seconds

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aKFcUCX
via Yuichun

China has 16% of world wafer capacity

The new 2022 edition of Global Wafer Capacity shows that China’s has a 16% share of the world’s capacity for fabricating IC wafers, according to Knometa Research. Worldwide IC wafer capacity at the end of 2021 was 21.6 million 200mm-equivalent wafers per month, with fabs in China having the capacity to process 3.5 million. China’s ...

This story continues at China has 16% of world wafer capacity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WvBxVX8
via Yuichun

2022年2月13日 星期日

KSAT selected to provide Ground network support for the LunIR mission

KSAT, the Norwegian ground segment specialist, has been selected to provide ground communication services, working with Tyvak Nano-Satellite Systems, for Nasa’s Lunar InfraRed Imaging (LunIR) mission. To be operated by Lockheed Martin, which won the development contact from NASA, the nano-satellite dubbed LunIR is part of the Artemis I mission and its lunar flyby is planned ...

This story continues at KSAT selected to provide Ground network support for the LunIR mission

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cs2rve5
via Yuichun

2022年2月11日 星期五

Cambridge GaN Devices funded to make server power ICs

Cambridge GaN Devices has won government funding to develop a GaN power IC for server PSUs – and its first devices have been revealed. The company is fabless, and using its in-house intellectual property to improve the gate drive characteristics of GaN power transistors built on standard GaN foundry processes, by integrating further active devices ...

This story continues at Cambridge GaN Devices funded to make server power ICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KNaFHSZ
via Yuichun

11% growth for semis this year, says IC Insights

Semiconductor sales will rise 11% this year following a 25% increase in 2021 and an 11% increase in 2020, says IC Insights. If achieved, it would mark the first time since 1993-1995 that the semiconductor market has enjoyed three consecutive years of double-digit growth. From 2016-2021, the CAGR for the semiconductor market was 11.0%—a very ...

This story continues at 11% growth for semis this year, says IC Insights

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7DZ4ePc
via Yuichun

TSMC Jan revenues up 35.8%

TSMC had January 2022 revenues 10.8% up on December 2021 and 35.8% up on January 2021 at US$6.17 billion. TSMC January Revenue Report (Consolidated): (Unit: NT$ million) Period Net Revenues January 2022 172,176 December 2021 155,382 M-o-M Increase (Decrease) % 10.8 January 2021 126,749 Y-o-Y Increase (Decrease) % 35.8

This story continues at TSMC Jan revenues up 35.8%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/9aXdFVZ
via Yuichun

Digi-Key to host RVfpga Webinar on RISC-V architecture and implementation

Digi-Key has announced it will host a RVfpga webinar, in collaboration with Imagination Technologies, on the topic of understanding RISC-V architecture and implementation on an FPGA. Running on Wednesday 23 February, at 2 p.m. CST, it will be presented by Dr. Sarah Harris, associate professor of electrical and computer engineering at the University of Nevada, ...

This story continues at Digi-Key to host RVfpga Webinar on RISC-V architecture and implementation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/1mvD4c0
via Yuichun

2022年2月10日 星期四

Farnell expands Technical Resources Hub

Farnell has expanded the Technical Resources Hub to include new exclusive resources offering a deep dive into Industry 4.0, Internet of Things (IoT) design and wide-ranging hot topics for electronic development engineers. The Technical Resources Hub is designed to provide engineers with comprehensive advice and training to support every stage of new product design. Innovation in ...

This story continues at Farnell expands Technical Resources Hub

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/W6mxDkP
via Yuichun

Passive radiative cooling improves high-end solar cells

Pusan National University in Korea is increasing the efficiency of solar cells by bouncing heat back into the sky. “Standing in the sun all day, solar cells often become very heated,” according to the university. “Over time, this degrades them and lowers their power-conversion efficiency. Integrating radiative coolers can solve this problem without energy consumption.” ...

This story continues at Passive radiative cooling improves high-end solar cells

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3iVYenQ
via Yuichun

SL Power extends portfolio

 SL Power Electronics,  the AC/DC power conversion specialist, has announced of the TE and ME family of external power supplies. The TE series is approved to Industrial/ITE standards and the ME meets medical requirements, and between them they meet the needs of a very wide range of applications. 9 model ranges are available with standard ...

This story continues at SL Power extends portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/dX057Z1
via Yuichun

Jodrell Bank to coordinate mitigation of satellite constellations on astronomy

The International Astronomical Union (IAU) has announced details of its new IAU Centre for the Protection of the Dark and Quiet Sky from Satellite Constellation Interference. As the name suggests, it will be concerned with coordinating action to help mitigate the impact of satellite constellations on ground-based optical and radio astronomy observations. Specifically, it will ...

This story continues at Jodrell Bank to coordinate mitigation of satellite constellations on astronomy

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lwbMJfB
via Yuichun

2022年2月9日 星期三

Flutter embedded UI toolkit supports Windows Apps

Google’s release of Flutter 2.10 – the mobile user interface toolkit for creating embedded graphic components from a single codebase via native Arm code – sees added support for Windows Apps. The embedded UI toolkit embraced Windows, macOS and Linux back in March 2021, but now there is support for Windows as an app target, ...

This story continues at Flutter embedded UI toolkit supports Windows Apps

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mXhBiuG
via Yuichun

Two-wire Hall switches have diagnostics ready for ISO 26262

Diodes has added diagnostics to two-wire Hall-effect switches to ready them for ISO 26262 automotive functional safety. “They monitor main device blocks, supply voltage and temperature, with a third lower current output state,” according to the company. “This enables them, as a ‘safety element out of context’ [SEooC] to support ISO 26262 functional safety system integrations.” ...

This story continues at Two-wire Hall switches have diagnostics ready for ISO 26262

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/52Xe4G6
via Yuichun

Flexible stretchy supercapacitors

The University of Surrey has created a flexible and stretchy supercapacitor “that can be easily integrated into footwear, clothing, and accessories”, it said. Building one involves transferring aligned carbon nanotube arrays from a silicon wafer to a PDMS (polydimethylsiloxane) matrix in which they are partly embedded for robustness. This is then electro-coated with polyaniline to improve ...

This story continues at Flexible stretchy supercapacitors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/wIi6A2S
via Yuichun

Silicon Catalyst admits six more startups

Silicon Catalyst, the incubator focused exclusively on accelerating semiconductor startups, has admitted six more conpanies: They are: ApLife Biotech – Argentina “Becoming World Leaders in Discovery for Biosensors” Lemurian Labs – Canada “Building a next-gen AI Accelerator to enable deep learning on the edge” NanoHydro Chem – USA “Energy Storage Solutions” RAAAM – Israel “Providing ...

This story continues at Silicon Catalyst admits six more startups

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/AzZSI7C
via Yuichun

Astrocast’s bidirectional satellite IoT service launches commercially

Astrocast has made its bidirectional satellite IoT service commercially available, aimed at connecting IoT devices globally for use outside of cell-based terrestrial networks. The service uses Astrocast’s own recently launched nanosatellite constellation, in Low Earth Orbit, and it is bidding to support low-cost applications in asset tracking and telemetry. Target sectors include agriculture and livestock, ...

This story continues at Astrocast’s bidirectional satellite IoT service launches commercially

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Mz1NL85
via Yuichun

Access to 5G infrastructure sites eased

Street lights, bus shelters and traffic lights will be used for deploying 5G equipment, says the DCMS. Eight winning projects will receive a share from the £4 million Digital Connectivity Infrastructure Accelerator (DCIA) to explore how digital software can help simplify local authority processes when telecoms operators request access to publicly-owned buildings and curbside infrastructure. ...

This story continues at Access to 5G infrastructure sites eased

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/rqAZ17c
via Yuichun

Melexis develops gentle touch for robots

Melexis, the Belgian auto IC specialist, has made a major innovation to improve robots’ ability to interact with fragile or diverse objects. The company has unveiled Tactaxis, a fully integrated tactile sensor that is compact, soft and provides the 3D force vector acting on its surface. This improves robots’ hands and grippers, making delicate operations ...

This story continues at Melexis develops gentle touch for robots

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ujTl4Y1
via Yuichun

2022年2月8日 星期二

10W non-isolated mains-to-dc converter

Diodes has released a non-isolated 10W power supply IC that delivers up to 10W or 600mA from universal mains. It has an internal 700V mosfet and works with mains from 85Vac to 300Vac, and typically with peak current up to 1.1A. “A key differentiator of this switcher IC is that it supports conventional off-line non-isolated ...

This story continues at 10W non-isolated mains-to-dc converter

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/PI4pgzr
via Yuichun

Korea to monitor technologists for tech leaks

South Korea is putting in place a raft of measures to stop its technology leaking – principally to China. The measures are being implemented by  the industry and justice ministries, the Korean Intellectual Property Office and the National Intelligence Service. List are being created  of people with advanced knowledge in 12 “national core technologies” in ...

This story continues at Korea to monitor technologists for tech leaks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Vi4wmET
via Yuichun

Globalwafers plans $3.6bn expansion

GlobalWafers of Taiwan, the acquisitive No.3 in the wafer supply business, is planning a three year, $3.6 billion expansion  after its bid for Siltronic was blocked by the German government. The company will spend $2 billion on a new factory at a site to be decided and $1.6 billion on expanding capacity  at existing factories. ...

This story continues at Globalwafers plans $3.6bn expansion

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lR2PN0K
via Yuichun

Alphawave, Analog Bits and Siemens Digital sign up fpr Intel Foundry Services

Analog Bits, Siemens Digital Industries Software and Alphawave are partnering with Intel Foundry Services (IFS). Siemens Digital Industries Software has become a charter member of the Intel Foundry Services (IFS) Accelerator – EDA Alliance, a programme committed to establishing an ecosystem for the design and fabrication of next generation SoCs manufactured on IFS’processes. The initiative promotes ...

This story continues at Alphawave, Analog Bits and Siemens Digital sign up fpr Intel Foundry Services

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/y76hNIT
via Yuichun

Isotropic passes multi-link satellite trials for US, NATO Forces

Isotropic Systems, a specialist in next-gen satellite connectivity, has completed advanced multi-orbit antenna trials for the U.S. military, it has announced. The Reading-based developer of multi-link satellite technology connected to GEO (geostationary orbit) and MEO (medium Earth orbit) satellites simultaneously with a single antenna. The tests were conducted with SES Government Solutions, a provider of ...

This story continues at Isotropic passes multi-link satellite trials for US, NATO Forces

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/w2Nr5iE
via Yuichun

2022年2月7日 星期一

SiFive puts its whole Risc-V processor range into Intel’s foundry service

Risc-V processor IP company SiFive is partnering with Intel Foundry Services (IFS) to optimise SiFive IP for Intel’s foundry customers. The two companies have already collaborated, using SiFive’s ‘Performance P550‘ processor and Intel PCIe and DDR interfaces to build the ‘Horse Creek’ Risc-V development platform. “We’re excited to extend our relationship with IFS to ensure the ...

This story continues at SiFive puts its whole Risc-V processor range into Intel’s foundry service

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/kIe6aHC
via Yuichun

Baseplate cooled 500W PSUs for railway use

Aimed at nominal 72V and 110Vdc inputs, the 500W RMD500-EW power supply series from Recom is intended for baseplate-cooled railway use, and similar 300W and 150W rolling stock and trackside PSUs are in the pipeline. Inputs can span 43 to 154Vdc (4:1), and peak at 170V. Output is 24V at up to 500W even with the ...

This story continues at Baseplate cooled 500W PSUs for railway use

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lEJGCOe
via Yuichun

US (sort of) passes Chips Act

The US Chips Act was passed by the House of Representatives on Friday by a 222-210 margin. There now follows a period when the Senate and the House seek to reconcile the differences between their two versions of the bill. The combined version will then have to be voted on again to become law. If ...

This story continues at US (sort of) passes Chips Act

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WYtpiqF
via Yuichun

Toshiba to go for two-way, not three-way, split

Toshiba is now going for a two-way split of the company instead of a three-way split. The three-way split would have required the approval of two thirds of the shareholders of whom 30% are foreign and belligerent. A two-way split only requires the approval of the board. The three-way split would have been energy and ...

This story continues at Toshiba to go for two-way, not three-way, split

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/THA9O8a
via Yuichun

ICEYE raises $136m Series D for SAR satellite imaging

ICEYE, the Finnish satellite imagery specialist, has closed a $136m Series D funding round, led by London-based space investor Seraphim Space. New investors included BAE Systems and Kajima Ventures. And Molten Ventures, OTB Ventures, True Ventures, C16 Ventures, Chione Ltd, Services Group of America, the UK’s National Security Strategic Investment Fund (NSSIF), Space Capital and ...

This story continues at ICEYE raises $136m Series D for SAR satellite imaging

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/w4BOmCq
via Yuichun

Amphenol adds to ultraminiature portfolio

Amphenol RF has added to its ultraminiature products with the introduction of additional SMA jack configurations designed for various micro-coax cable types. Micro-coax cables allow for additional flexibility and less bulk which makes them the preferred choice in designs with space constraints. Ultraminiature SMA jacks are ideal for applications such as telecommunications and wireless technology. ...

This story continues at Amphenol adds to ultraminiature portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ej6tLFf
via Yuichun

2022年2月4日 星期五

Sludge-like fluid might lead to grid-scale flow batteries

Flow batteries show promise for grid-scale storage as, like fuel cells, they decouple power output and energy storage when building a battery: the reactor is sized for power output and the surrounding tanks are sized for energy capacity. Given a low-cost working fluid and big tanks, they could store enormous amounts of energy. Seeking that ...

This story continues at Sludge-like fluid might lead to grid-scale flow batteries

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0rsMNEP
via Yuichun

Toshiba to double PMIC output

Toshiba is investing around $1 billion to double its output of PMICs, according to the Nikkei. The new production is scheduled to start in  March 2025. The new PMIC fab will be at Kaga Toshiba Electronics (pictured) a semiconductor  subsidiary in Ishikawa Prefecture.  The fab will make PMICs on 300mm wafers. As well as the ...

This story continues at Toshiba to double PMIC output

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sNMLtUS
via Yuichun

II-VI Inc and Element 6 to expand diamond substrate manufacturing

II-VI Inc and Element Six are collaborating to  expand II-VI’s diamond platform, accelerating the development of applications by licensing Element Six’s single-crystal diamond technology. Applications of advanced power and RF electronics, including for 6G wireless components, as well as other emerging applications in life sciences, sensing, thermal management, and quantum computing, are expected to drive ...

This story continues at II-VI Inc and Element 6 to expand diamond substrate manufacturing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/HBpMeNr
via Yuichun

UKSA funds £1.7 million for space sustainability projects

The UK government has announced new funding for what it describes as “space sustainability” projects, which span industry and academia. Basically, the 13 projects will help track, and potentially remove, debris in space, in accordance with the UK’s National Space Strategy, which was released in September 2021 and identified a need for the UK to ...

This story continues at UKSA funds £1.7 million for space sustainability projects

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ulSw5Kc
via Yuichun

2022年2月3日 星期四

Farnell white paper helps with supply chain issues

A free-to-download white paper from Farnell explores strategies for coping with supply chain pressures and hidden costs. Farnell, Far has published the whitepaper in partnership with Supply Management Insider and the Chartered Institute of Procurement & Supply (CIPS). The paper, titled ‘Confronting the hidden costs and challenges in your electronics supply chain’, sets out several ...

This story continues at Farnell white paper helps with supply chain issues

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/NIPXA2s
via Yuichun

Fan-less Arm PC for signage and multimedia

Those compression standards include H.264, VP8, VP9, MPEG-4, MPEG-2 and VP8, and the computer’s multiple display options including HDMI and a DisplayPort interface on its USB Type-C connector, with up to 4K video resolution. Inside the box is Seco’s Solon motherboard (also called SBC-C31), and the single board computer’s connectivity options include: 2x Gigabit Ethernet, USB 2.0/3.0, 2 ...

This story continues at Fan-less Arm PC for signage and multimedia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/qWwecz7bG
via Yuichun

Wurth super cap app note is worth a look

Wurth has written an application note about balancing series-connected supercapacitors. There are other similar resources on the web, but this one stands out as, alongside the usual resistor, Zener and op-amp circuits, it includes balancing using Advanced Linear Devices’ unusual regulating mosfets, and ADI’s LTC3128 charger and balancer that flips power from the most to the ...

This story continues at Wurth super cap app note is worth a look

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/EXnamo0Hf
via Yuichun

Rain raises $25m

Rain Neuromorphics, the analogue AI processor startup, has raised $25 million. Rain uses memristors for both processing and memory. Performing both functions in the same place is said to  make the processing of AI algorithms much faster than can be done with digital ICs. “By building neural circuits, we can achieve extraordinary efficiency and extraordinary ...

This story continues at Rain raises $25m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/P4htdL7y5
via Yuichun

XCalibur replaces quartz crystal resonators

 SiTime  has introduced  XCalibur – an active resonator. This new product category solves supply chain constraints using programmable semiconductors to deliver a drop-in replacement for quartz crystal resonators. Additionally, XCalibur provides higher performance and reliability while reducing development time by up to two months in automotive, enterprise, and industrial applications. “SiTime’s portfolio consists of many unique ...

This story continues at XCalibur replaces quartz crystal resonators

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ETNBPweZb
via Yuichun

Intrinsic scales RRAM to 50nm

Intrinsic Semiconductor and its partner imec have scaled Intrinsic’s RRAM technology to 50nm. Intrinsic claims that, at 50nm, the technology demonstrates ‘excellent switching behaviour’. “We are delighted to have hit this critical milestone, confirming our theoretical analysis that the devices can be made with nanoscale dimensions,” says CEO Mark Dickinson, “ this means, at last, ...

This story continues at Intrinsic scales RRAM to 50nm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hAucHXqRG
via Yuichun

2022年2月2日 星期三

European Commission woos space VCs with €1bn Cassini Fund

As part of the Cassini Entrepreneurship Initiative, the European Commision has backed a “Cassini Seed and Growth Funding Facility”. The idea is to is attract more venture capital funds to invest in European small and medium size companies involved in space technology or digital services using space data. The fund is said to have an ...

This story continues at European Commission woos space VCs with €1bn Cassini Fund

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DsiIwlU3T
via Yuichun

Space to Earth laser comms needs special tuning

ESA is looking into using lasers to send large amounts of data from geostationary orbit to Earth. It operates the European Data Relay System (EDRS) packages from that high altitude – payloads sharing room on commercial satellites  – which communicate with a constellation of low earth orbit planet-monitoring satellites called Sentinels. Currently Sentinal-EDRS communication is ...

This story continues at Space to Earth laser comms needs special tuning

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Eva5hOAJ2
via Yuichun

Industrial PC gets 26Top/s of AI processing

Staffordshire industrial computer supplier Impulse Embedded is offering one accelerated by the Hailo-8 edge AI processor, delivering up to 26Top/s (int-8). It is RSC100, which is built around an un-named octa-core Arm processor backed by 4Gbyte of LPDDR4, 16Gbyte of eMMC storage and an M.2 2280 M-key SSD slot with PCIe x4 NVMe support, as well ...

This story continues at Industrial PC gets 26Top/s of AI processing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/IMY3xZQEK
via Yuichun

2021 O-S-D revenues crack $100bn for first time

Shortages, tight supplies, and higher prices drove up most O-S-D (opto, sensor and discretes) products in the economic rebound while CMOS image sensor sales were muted by U.S.-China disputes and softness in some systems, says IC Insights. Worldwide sales of optoelectronics, sensors and actuators, and discrete semiconductors (O-S-D) each climbed to record-high levels during the ...

This story continues at 2021 O-S-D revenues crack $100bn for first time

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/h0vZ2t1C6
via Yuichun

Packaging Optimiser tool from MacFarlane

MacFarlane Packaging has launched the Packaging Optimiser – an interactive tool designed to help businesses identify the total cost and environmental impact of their packaging operation. ThePackaging Optimiser uses bespoke software to demonstrate the potential cost and CO2 savings that the correct packaging solution can have on any supply chain. The digital tool enables the Macfarlane team to work collaboratively ...

This story continues at Packaging Optimiser tool from MacFarlane

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/QnqFC8ftL
via Yuichun

TT Electronics and Radwave get closer

TT Electronics has extended its partnership and made an investment in Radwave Technologies,  an electromagnetic tracking platform company. The latest development marks the expansion of the strategic partnership and will provide Radwave with advanced manufacturing capability for its next-generation systems under an exclusive five-year contract.   “TT  will help accelerate our growth as we start delivering production ...

This story continues at TT Electronics and Radwave get closer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/U9TrKfCDi
via Yuichun

Jeff Bezos gathers Honeybee Robotics for Blue Origin

The Blue Origin space company, owned by Jeff Bezos, is buying the Colorado-headquartered Honeybee Robotics, which specialises in space-based robotic systems. Honeybee will become a wholly owned subsidiary of Blue Origin, which is headquartered in Kent, Washington. And the deal – between Honeybee’s parent company, Ensign-Bickford Industries, and Blue Origin – is expected to close in mid-February. According to the ...

This story continues at Jeff Bezos gathers Honeybee Robotics for Blue Origin

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7lrQTuMN0
via Yuichun

2022年2月1日 星期二

Silicon carbide takes dc-dc converter to 1.7kV

Power Integrations has switched from a 900V silicon mosfet to a 1,700V silicon carbide transistor to make its automotive-qualified InnoSwitch3-AQ dc-dc converter compatible with 600, 800 and 1200V electric vehicle batteries. What sort of transistor is it? “We don’t go into details of the device,” Power Integrations’ director of automotive business Peter Vaughan told Electronics Weekly. ...

This story continues at Silicon carbide takes dc-dc converter to 1.7kV

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VtpSgravo
via Yuichun

Rechargeable power module is only 1.6mm thick for wearables and IIoT

Rohm teamed up with battery maker NGK to create a thin power source demonstration kit for wearables and industrial IoT. REFLVBMS001-EVK-001 consists of NGK’s novel Li-ion-based 38 × 27 x 0.45mm 27mAh EnerCera EC382704P-C cell with a Rohm BD70522GUL buck converter, which has a quiescent current of 180nA, and a BD71631QWZ charger-discharger-monitor – the latter can work ...

This story continues at Rechargeable power module is only 1.6mm thick for wearables and IIoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/GRtVxDJmz
via Yuichun

UK tech IPOs raise £6.6bn

Tech IPOs in the UK raised £6.6 billion in 2021, more than double 2020’s figure of £3.1 billion, according to the London Stock Exchange (LSE). With 126 companies listing in the UK in 2021, tech companies made up 29% of listings on the LSE, indicating the UK tech industry’s increasing maturity and driving much of ...

This story continues at UK tech IPOs raise £6.6bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YWt15vbwJ
via Yuichun

Caltech selects Laurie Leshin to be Director of JPL

The geochemist and internationally recognised space scientist Laurie Leshin will be the next Director of Nasa JPL (Jet Propulsion Laboratory), becoming the first female to be appointed to the role. Assuming her role in May, she succeeds Lt. Gen. Larry D. James USAF (Retired), who currently serves as JPL interim director. With 20 years of ...

This story continues at Caltech selects Laurie Leshin to be Director of JPL

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vs1ukOiXQ
via Yuichun