2017年5月31日 星期三

Chip Industry's 'Billion Dollar Capex Club' Expands

With four companies spending increasing spending to above $1 billion this year, big spender ranks expected to swell since highest level since before the global financial crisis.

from EETimes: http://ift.tt/2rqB6ij
via Yuichun

SPONSORED: Wideband I/Q Demodulation for Zero-IF High Dynamic Range Receivers



from EETimes: http://ift.tt/2rlJayS
via Yuichun

3DXP's Memory Role Unclear

Customers and analysts have mixed views on the outlook for Intel's 3D XPoint chips as main memories in servers 2018.

from EETimes: http://ift.tt/2rcbpBN
via Yuichun

ams uses closed-loop sensing for tight LED light control

ams has introduced a power management IC for LED-based lamps and luminaires which uses closed-loop sensing to maintain more accurate correlated colour temperature (CCT) and lumen output. Following the AS7221 smart lighting manager introduced earlier this year, this new device, the AS7220, combines a precise calibrated-for-life colour sensor with an intelligent Cognitive Lighting Engine for standalone ...

Read full article: ams uses closed-loop sensing for tight LED light control



from News – Electronics Weekly http://ift.tt/2sdxeiy
via Yuichun

SCOTUS Ends Texas Patent Craze

The Supreme Court struck a death blow to East Texas as a major patent litigation forum in a decision that could see patent filings overall decrease.

from EETimes: http://ift.tt/2qAb9On
via Yuichun

Intel to Strike Thunderbolt in CPUs

Thunderbolt is finally on the path to mass adoption thanks to Intel's move to integrate it in future processors and make licensing it easier.

from EETimes: http://ift.tt/2rjE1aA
via Yuichun

German firm uses graphene for high reliability energy storage

Skeleton Technologies, the German ultracapacitor manufacturer, has developed an energy storage system for adding power reliability in manufacturing plants, data centres, and covering starting and bridging power for diesel generators. Called SkelGrid, the system is based on the firm’s curved graphene ultracapacitor technology, which is designed to provide high power and energy density. Taavi Madiberk, ...

Read full article: German firm uses graphene for high reliability energy storage



from News – Electronics Weekly http://ift.tt/2rUg9NE
via Yuichun

Mitac showcasing Mio series at Computex Taipei 2017

IT device maker Mitac International is showcasing Mio, its own brand for smart devices including smart wrist bands for health care, in-car digital video recorders, professional tablets at the Computex Taipei 2017, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2sldJE1
via Yuichun

Taiwan-based networking device makers strengthening on differentiation to improve yields

A number of Taiwan-based networking device makers saw their operating and gross margins improve in the first quarter of 2017, buoyed by individual companies' efforts to improve product mixes and product differentiations, according to industry sources.



from DIGITIMES: IT news from Asia http://ift.tt/2rmXskT
via Yuichun

WinMate hopes to merge sales agents in North America

WinMate, a maker of ruggedized tablets, industrial panel PCs and digital signage hopes to merge with long-term sales agents in the North America market, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2slj6TP
via Yuichun

Advantech expects opportunities arising from smart city, Industry 4.0 in 2017

Industrial computing device maker Advantech expects business opportunities in 2017 to come from demand for smart city solutions and Industry 4.0-based automation, according to company president Chaney Ho.

from DIGITIMES: IT news from Asia http://ift.tt/2rn4dTW
via Yuichun

Taiwan 1Q17 economic growth estimated at 2.60%, says DGBAS

Taiwan's economic growth rate for the first quarter of 2017 is estimated at 2.60%, upward adjusted by 0.04pp from the preliminary estimate made in April 2017, according to the Directorate General of Budget, Accounting and Statistics (DGBAS) on May 26.

from DIGITIMES: IT news from Asia http://ift.tt/2slkp5e
via Yuichun

2017年5月30日 星期二

Researchers Print Stretchable Battery Printed to Light Wearables

A stretchable, flexible rechargeable battery technology uses a new understanding of how existing formulations work.

from EETimes: http://ift.tt/2skqbnN
via Yuichun

Driverless guru jobless

The Catch-22 situation which Uber’s driverless car guru, Tony Levandowski,  found himself in, has been resolved. He’s been sacked. Apparently Levandowski preferred his Fifth Amendment protection to his continued employment at Uber. Levandowski pleaded the Fifth to accusations that he had stolen 14,000 files on driverless car technology from his previous employer Waymo, Google’s driverless ...

Read full article: Driverless guru jobless



from News – Electronics Weekly http://ift.tt/2r9hgb5
via Yuichun

What's Driving Automotive Storage?

In addition to rapidly changing infotainment systems, more connected cars, ADAS and autonomous cars are drastically altering automakers' requirements for local on-board storage in the vehicle.

from EETimes: http://ift.tt/2qytzLa
via Yuichun

Apple, Intel Attack Rivals

Apple hired a top Qualcomm engineering manager and Intel rolled out a new high-end CPU line in signs of the intense competition in processors.

from EETimes: http://ift.tt/2rkvJkK
via Yuichun

EEVblog #996 – What Is The CE Mark On A Product?

What does the CE mark on a product actually mean?
How do you get one?
What is it NOT?
What is a Declaration Of Conformity?
There might more to this mark than you realised!

The FCC mark is also discussed.

Forum HERE



from EEVblog http://ift.tt/2rAsjeD
via Yuichun

Microchip adds high-quality graphics processing to PIC32

Microchip has added a high-resolution 2D graphics controller and 32Mbyte of SDRAM to its 32bit PIC32 microcontroller range. It is aimed developers of embedded products wanting high-quality images and animations on displays up to 12inch. Up to 24bit colour is available in multiple input and output formats – with a global colour palette look-up table ...

Read full article: Microchip adds high-quality graphics processing to PIC32



from News – Electronics Weekly http://ift.tt/2qx9g0w
via Yuichun

Driverless car security in a safety-critical world 

Operating self-driving cars in a single software environment exposes all software to any cyber attack surface. A more sensible solution is to use a hypervisor to host multiple virtual machines on one processor, writes Chris Barlow The security of automotive systems goes hand-in-hand with functional safety gas defined in ISO 26262. But cybersecurity presents a ...

Read full article: Driverless car security in a safety-critical world 



from News – Electronics Weekly http://ift.tt/2rQvnTG
via Yuichun

Computex 2017: Acer Predator Triton 700 gaming notebook to Ship with Nvidia GTX 1080 GPUs

Acer is showcasing its Predator Triton 700 ultra-thin gaming notebook at Computex Taipei 2017 with slim design and features including latest graphics, processors in-house developed thermal technology.

from DIGITIMES: IT news from Asia http://ift.tt/2sgqO1t
via Yuichun

Computex 2017: Asustek shows new members for its ZenBook and VivoBook

Asustek Computer chairman Jonney Shih took the stage at today’s Computex 2017 press event to present the company's new notebook lineup of thin-and-light ZenBook and VivoBook.

from DIGITIMES: IT news from Asia http://ift.tt/2riRJwl
via Yuichun

Computex 2017: Intel announces new top-end CPU platform and innovations

In the opening keynote at Computex Taipei 2017, Intel’s Gregory Bryant, corporate vice president and general manager of the Client Computing Group, showcased from device-to-cloud how Intel is at the forefront of this data-driven revolution, evolving from a PC company to a data company to build a more immersive, personal, smart and connected world.

from DIGITIMES: IT news from Asia http://ift.tt/2sgLeHI
via Yuichun

TSMC to start equipment move-in at Nanjing plant in September

Taiwan Semiconductor Manufacturing Company's (TSMC) new 12-inch plant in Nanjing, China will be ready for equipment move-in in September 2017, according to the Taiwan-based foundry.

from DIGITIMES: IT news from Asia http://ift.tt/2rQ4CP3
via Yuichun

Drone market taking off with promising demand from commercial sector

The market for UAVs (unmanned aerial vehicles), commonly known as drones, is growing quickly thanks to the diversification of products. Demand for consumer, entertainment, professional and commercial-use drones has been gradually emerging.



from DIGITIMES: IT news from Asia http://ift.tt/2qwv0cT
via Yuichun

CHPT to provide solutions for special-purpose PCBs

IC testing solution provider Chunghwa Precision Test Technology (CHPT) has disclosed plans to develop new solutions for the manufacture of special-purpose PCBs with total investment estimated at NT$1.05 billion (US$34.9 million).

from DIGITIMES: IT news from Asia http://ift.tt/2rPOhu0
via Yuichun

Topco 2017 revenues to rise over 10%

IC materials distributor Topco Scientific is expected to post more than 10% revenue growth in 2017 driven by robust demand for silicon wafers and photoresist solutions, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qwRsTu
via Yuichun

JMC optimistic about full-screen OLED phone demand

JMC Electronics, an affiliate of Chang Wah Electromaterials (CWE) specializing in the manufacture of chip-on-film (COF) substrates, has expressed optimism about demand for smartphones featuring edge-to-edge OLED displays, as well as OLED TVs.

from DIGITIMES: IT news from Asia http://ift.tt/2rQtgzo
via Yuichun

North American semiconductor equipment industry posts US$2.17 billion in April billings

North America-based manufacturers of semiconductor equipment posted US$2.17 billion in billings worldwide in April 2017 (three-month average basis), according to SEMI. The billings figure is 4.6% higher than the final March 2017 level of US$2.08 billion, and is 48.9% higher than the April 2016 billings level of US$1.46 billion, said SEMI.

from DIGITIMES: IT news from Asia http://ift.tt/2qwMJB2
via Yuichun

2017 automotive IC market on pace for record year, says IC Insights

Electronic systems that improve vehicle performance; that add comfort and convenience; and that warn, detect, and take corrective measures to keep drivers safe and alert are being added to new cars each year. Consumer demand and government mandates for many of these new systems, along with rising prices for many IC components within them, are expected to raise the automotive IC market 22% in 2017 to a new record high of US$28.0 billion, according to IC Insights.

from DIGITIMES: IT news from Asia http://ift.tt/2rQ54wM
via Yuichun

Taiwan players expect AI to benefit PC hardware supply chain

Artificial intelligence (AI) and robots are both hot topics for Computex 2017 and will become focuses for the IT industry for the next several years. Taiwan's PC and server supply chains are expected to greatly benefit from the business opportunity because of the technology's heavy reliance on PC calculation.



from DIGITIMES: IT news from Asia http://ift.tt/2qwCiNV
via Yuichun

The enterprise platform revolution of 2017: An opportunity for Taiwan vendors to take the lead

With all the overcooked buzz about mobility, IoT and other consumer-oriented market speak, it is easy to forget the less sexy, but far more profitable and strategically important enterprise computing segment. After all, all that data on your phone or tablet does comes from, or through, some kind of server in the end.

from DIGITIMES: IT news from Asia http://ift.tt/2rQi4m0
via Yuichun

Welcome to Computex 2017: New friends, renewed rivalries

After several years where players in a post-mature PC industry have been more concerned about making sure they clear out inventory rather than consistently introducing new innovations to the market, Computex Taipei 2017 is gearing up to be a throwback show, where industry heavyweights go toe-to-toe with their latest technology offerings, much like they did in the old days. At the same time, new partnerships and new industries will gain more spotlight, as a reminder that things are much different now in the IT industry than they used to be.

from DIGITIMES: IT news from Asia http://ift.tt/2qwCOLZ
via Yuichun

IoV market holds strong potential for Taiwan-based makers

While smart cars, connected cars or autonomous cars have been in frequent and diverse discussions across the IT industry, it has been the automobile industry that has been focused on the related development of cloud computing, artificial intelligence, fusion of sensors and Big Data analysis technologies that are needed to make autonomous cars a reality.

from DIGITIMES: IT news from Asia http://ift.tt/2rQ35st
via Yuichun

Q&A: Gigabyte preparing full series of gaming notebooks

Despite the gaming notebook market's strong popularity, competition has always been fierce between vendors, which have relatively driven down the product prices during the past few years.

from DIGITIMES: IT news from Asia http://ift.tt/2qwMHsU
via Yuichun

Mystery surrounds BA outage

Mystery still surrounds the BA web-site outage with everyone asking why BA didn’t have a back-up system or a back-up system which worked. The BA explanation of a power surge knocking out the BA network has not answered the question of why it took so long to fix it. The unions point to the sacking ...

Read full article: Mystery surrounds BA outage



from News – Electronics Weekly http://ift.tt/2rznguZ
via Yuichun

2017年5月29日 星期一

Nvidia Pitching AI to ODMs in Taiwan

Nvidia has come to Computex, in hopes of replicating what Intel and Microsoft achieved a few decades ago with the PC market. Nvidia hopes to dominate "accelerated computing," by partnering with Taiwan's ODMs and driving AI cloud computing.

from EETimes: http://ift.tt/2reDcQo
via Yuichun

Wanna Cry Exposed Heathcare's Ills

The crippling software attack on the British National Healthcare Service could put information sharing standards back by ten years.

from EETimes: http://ift.tt/2sg7iCt
via Yuichun

Exceptional talent visa scheme gains traction

A scheme to attract technologically talented immigrants has started to take off. 260 visas for exceptionally talented technologists were issued in the fiscal year to April 6th. The visas allow the holder to stay in the UK for five years and then apply to settle here if they want to. In the previous FY less ...

Read full article: Exceptional talent visa scheme gains traction



from News – Electronics Weekly http://ift.tt/2rO42Sb
via Yuichun

ARM Cores Target AI-powered Future

Two new application processor cores introduced as part of an ambitious goal to accelerate AI adoption and get an ARM processor core into every IoT device by 2035.

from EETimes: http://ift.tt/2sdupgD
via Yuichun

eRamp power electronics project completed this week

The Infineon-led, 26-partner, EU-backed  eRamp power electronics project ends this week. “The eRamp results have created the prerequisites for keeping the production of power electronics in Europe competitive,” says project co-ordinator  Dr. Oliver Pyper, of Infineon, “power electronics guarantee an ever more efficient generation, transmission, and use of electric energy. And it is in this ...

Read full article: eRamp power electronics project completed this week



from News – Electronics Weekly http://ift.tt/2qyw13x
via Yuichun

Flex Logix eFPGA cores enable 100k LUTs

Flex Logix, the eFPGA IP specialist, has completed design of its second-generation high-performance IP core for TSMC 16FF+ and 16FFC process Enabling embedded FPGA arrays greater than 100,000 LUTs. “Data centers require reconfigurable hardware protocols for networking, storage and security, and therefore switches, NICs and other networking chips need very high-performance reprogrammability,” says Geoff Tate, ...

Read full article: Flex Logix eFPGA cores enable 100k LUTs



from News – Electronics Weekly http://ift.tt/2reqYJH
via Yuichun

2017年5月28日 星期日

ARM launches first cores based on DynamIQ micro-architecture

ARM has brought out the first cores based on its DynamIQ micro-architecture and a new version of its Mali GPU core. The CPU cores, which use big.LITTLE and TrustZone technology, are designated Cortex A-75 and A-55. They have dedicated instructions for AI which are intended to boost AI performance by 50x in the next 3-5 ...

Read full article: ARM launches first cores based on DynamIQ micro-architecture



from News – Electronics Weekly http://ift.tt/2qtf8bb
via Yuichun

2017年5月26日 星期五

DRAM Price Surge Continues

Global DRAM sales reached record heights in the first quarter, thanks to a 30 percent increase in the average contract price of PC DRAM modules, according to DRAMeXchange.

from EETimes: http://ift.tt/2rIlRSn
via Yuichun

RF Filters Boost MEMS Market

Broadcom moves into No. 2 spot in MEMS sales, suprassing TI, according to Yole Developpement.

from EETimes: http://ift.tt/2rpFz5P
via Yuichun

NASA Increases Happy Landings for Drones

Safe2Ditch is aimed at allowing drones to run self-diagnostics to anticipate problems and find the safest land spot if something does go wrong

from EETimes: http://ift.tt/2rZ4PNg
via Yuichun

Electro-optic switch sweeps refractive index by 60%

Researchers from North Carolina State University have discovered a technique for controlling red light with electric fields, with possible applications in photonics. It involves layers of thin material whose refractive index changes by up to 60%. “Unfortunately, it is very difficult to tune refractive index with electric fields,” said researcher Linyou Cao. “Previous techniques could ...

Read full article: Electro-optic switch sweeps refractive index by 60%



from News – Electronics Weekly http://ift.tt/2rYxTo7
via Yuichun

Robo-Car Platforms Diverge

We can count at least 10 ADAS/autonomous vehicle platforms. How are these hardware and software platforms overlap, interact and compete?

from EETimes: http://ift.tt/2s3vrfm
via Yuichun

Red green and blue from a single pixel

Researchers at the University of Central Florida have developed a colour changing surface tunable through voltage. “We can make a red sub-pixel go to blue, for instance,” said researcher Debashis Chanda. “In other displays that is not possible because they need three static colour filters to show the full RGB colour. We don’t need that ...

Read full article: Red green and blue from a single pixel



from News – Electronics Weekly http://ift.tt/2r4ypmp
via Yuichun

UltraSoC raises $6.4m

UltraSoC has raised a $6.4 million funding round to drive continued deployment of its technology for embedding intelligent analytics capabilities into every chip. New investors are: Atlante Tech, Enso Ventures, Oxford Capital and Guillaume d’Eyssautier, who join existing investors Octopus Ventures and South East Seed Fund (FSE Group). “Hard tech is back in favor with the ...

Read full article: UltraSoC raises $6.4m



from News – Electronics Weekly http://ift.tt/2qiCpka
via Yuichun

Digitimes Research: AMOLED to become mainstream smartphone panels

AMOLED panels, due to superiority in color saturation, thinness and power consumption over TFT-LCD panels, have seen increasing adopted for smartphones and will eventually become mainstream smartphone, as well as a dominate solution for VR head-mounted devices (HMD), according to Digitimes Resaerch.

from DIGITIMES: IT news from Asia http://ift.tt/2rFAmXl
via Yuichun

Radiant Opto-Electronics adjust strategy to cope with threat from OLED

Backlight unit (BLU) maker Radiant Opto-Electronics, viewing that increasing adoption of OLED panels will pose threat to the BLU industry, has adopted a 4-prong strategy to cope with the challenge, according to company chairman and CEO Wang Pen-jan.

from DIGITIMES: IT news from Asia http://ift.tt/2qmmRYp
via Yuichun

Qualcomm pursuing Apple manufacturers over royalty row

Qualcomm has filed a complaint in the US District Court for the Southern District of California against FIH Mobile and Hon Hai Precision Industry (together known as Foxconn), Pegatron, Wistron, and Compal Electronics - the four manufacturers of all Apple iPhones and iPads sold worldwide - for allegedly breaching their license agreements and other commitments with Qualcomm and refusing to pay for use of Qualcomm’s licensed technologies.

from DIGITIMES: IT news from Asia http://ift.tt/2rFSlgg
via Yuichun

Acer announces new Spin 1 convertible notebook

Acer has announced the latest version of its Spin 1 convertible notebook. With an all-metal chassis, the Windows 10 device supports Windows Ink through an Acer Active Stylus1.



from DIGITIMES: IT news from Asia http://ift.tt/2qmwPsS
via Yuichun

Computex 2017: ITRI develops smart pesticide detector featuring micro optical inspection

ITRI has unveiled a handheld pesticide residue detector with which the users can immediately learn the level of pesticide residues in their food.

from DIGITIMES: IT news from Asia http://ift.tt/2rG1vJv
via Yuichun

Intel Movidius Myriad 2 VPU enables DJI Spark Drone

Intel Movidius, a provider in low-power computer vision and embedded artificial intelligence, is providing visual intelligence technology to DJI’s recently announced Spark, the company’s first mini drone.

from DIGITIMES: IT news from Asia http://ift.tt/2qmsndP
via Yuichun

New iPhone to come without home button, says paper

It is not a tip but true that the new iPhone devices scheduled to be rolled out in the second half of 2017 will come without a home button, according to a Chinese-language Economic Daily News (EDN) report, citing sources from Taiwan Semiconductor Manufacturing Company (TSMC).

from DIGITIMES: IT news from Asia http://ift.tt/2rFAmGP
via Yuichun

Lenovo nets US$107 million for fiscal 4Q 2016/2017

China-based IT vendor Lenovo Group on May 25 released its financial report for the fourth quarter of its fiscal year ended March 2017, posting consolidated revenues of US$9.58 billion, gross margin of 14.6%, net operating profit of US$74 million and net profit of US$107 million for the first quarter of 2017.

from DIGITIMES: IT news from Asia http://ift.tt/2qmoQvT
via Yuichun

FET cooperates with Ericsson to trial NB-IoT in smart parking

Mobile telecom carrier Far EasTone Telecommunications (FET) has set up an experimental NB-IoT (narrow band Internet of Things) station at a 5G laboratory established through cooperation with Ericsson to jointly undertake trial application of the IoT technology on 700MHz frequency band units to smart parking in basement garages, according to FET.



from DIGITIMES: IT news from Asia http://ift.tt/2rFlU1C
via Yuichun

Passive component firm Chilisin puts increased focus on high-end products

Power inductor and choke manufacturer Chilisin Electronics is expected to see growths in its revenues and earnings in the second quarter of 2017, as the company has increased focus on high-margin products for high-end smartphones, automotive and industrial applications, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qlPipK
via Yuichun

Taiwan market: Smartphone shipments decline sharply in April

Shipments of smartphones in the Taiwan market totaled 543,000 units in April, down nearly 10% from the previous month and 20% from a year earlier period, according to data compiled by local retail channels.

from DIGITIMES: IT news from Asia http://ift.tt/2rFRWub
via Yuichun

E Ink phasing out LCD module production

E Ink Holdings (EIH) has increasingly focused business operation on e-paper displays and is phasing out production of small- to medium-size TFT-LCD modules, with the revenue proportion for the product line to gradually decrease from below 3% in the first quarter of 2017 to zero in the second half of the year, the company said at a May 25 investor conference.



from DIGITIMES: IT news from Asia http://ift.tt/2qm8OT0
via Yuichun

TSMC set to move 7nm to volume production in 2018

Taiwan Semiconductor Manufacturing Company (TSMC) is set to move its 7nm process technology to volume production in 2018, an improved version of the 7nm process using extreme ultraviolet (EUV) will be ready for volume production a year later, according to company co-CEO CC Wei.

from DIGITIMES: IT news from Asia http://ift.tt/2rG1vct
via Yuichun

Macroblock 2017 EPS to reach NT$8

LED driver IC supplier Macroblock is expected to see its EPS hit NT$8 (US$0.27) in 2017, driven by sales generated from the car-use and small pinch LED display products, according to market sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qm3VZR
via Yuichun

Zuckerberg preaches connection.

Mark Zuckerberg told graduating Harvard students yesterday that they should help people find purpose. “Technology and automation are eliminating many jobs,” said Zuckerberg, “membership in communities is declining. Many people feel disconnected and depressed, and are trying to fill a void. We have a generational challenge — to not only create new jobs, but create ...

Read full article: Zuckerberg preaches connection.



from News – Electronics Weekly http://ift.tt/2qmmrS8
via Yuichun

2017年5月25日 星期四

BBK is second largest smartphone manufacturer

BBK Electronics of China is now the second largest smartphone manufacturer in the world with its two brands OPPO and VIVO. BBK shipped 56.7 million smartphones in Q1 with OPPO shipping 30.9 million and VIVO shipping 25.8 million, says Gartner. Samsung was the top vendor with 78.7 million phones shipped. Apple came in third with ...

Read full article: BBK is second largest smartphone manufacturer



from News – Electronics Weekly http://ift.tt/2rWBwuG
via Yuichun

Smartphone Sales Grew 9% in Q1

Chinese vendors Huawei, Oppo and Vivo continued to take market share from Samsung and Apple, Gartner says.

from EETimes: http://ift.tt/2qpEXIn
via Yuichun

Electronics patent of the month: Smart glasses reading dynamic price information

This month features patent GB2530769: a wearable device that extracts information from the shelf-edge label in a supermerket and uses this to obtain the current price for that item from the store’s central server. Michael Jaeger, of UK patent and trade mark attorneys Withers & Rogers LLP, writes: GB Patent Number: GB2530769 Granted to: Asda Stores Limited ...

Read full article: Electronics patent of the month: Smart glasses reading dynamic price information



from News – Electronics Weekly http://ift.tt/2rTR8iB
via Yuichun

Acer debuts Nitro 5 notebook line for casual gaming

Acer has announced its new Nitro notebook line for casual gamers. Acer is offering a variety of configurations that feature popular graphics and processors, providing consumers with a wide selection to meet different budgets and needs.



from DIGITIMES: IT news from Asia http://ift.tt/2qSgkYn
via Yuichun

Computex 2017: BenQ pushing new cloud computing solutions

BenQ has prepared new and improved solutions for Internet of Things (IoT), big data and cloud computing applications and will showcase them at Computex 2017 beginning on May 30.

from DIGITIMES: IT news from Asia http://ift.tt/2qmJRWA
via Yuichun

Microsoft reveals next generation of Surface Pro

Microsoft has revealed the next generation of Surface Pro. Now powered by 7th Generation Intel Core processors, the ultra-thin Surface Pro will deliver increased power, super-fast boot times and peak performance - a 20% increase in performance and 50% more battery life (up to 13.5 hours).

from DIGITIMES: IT news from Asia http://ift.tt/2qS9emL
via Yuichun

Huawei rallies industries to build a stronger IoT ecosystem

Huawei Technologies has shared its vision of IoT with over 200 attendees at the inaugural Huawei IoT Ecosystem Forum, held in conjunction with CommunicAsia2017. The forum showcases successful industry partnerships in the areas of public utilities, smart home, connected car, smart city and healthcare to accelerate the implementation of IoT industry applications in Asia Pacific.

from DIGITIMES: IT news from Asia http://ift.tt/2qmMCr3
via Yuichun

HTC rolls out AI device for medical diagnosing applications

HTC has come out with an artificial intelligence (AI)-based device and related applications for medical examination and diagnosis applications, according to Edward Chang, president of healthcare and research unit at HTC.

from DIGITIMES: IT news from Asia http://ift.tt/2qScYo7
via Yuichun

Everlight expanding LED packaging capacity

LED packaging service provider Everlight Electronics is expanding its packaging capacity from 4.5 billion LED chips to 5.5 billion units a month, with the additional capacity to be specifically for fine pixel pitch displays, automotive lighting and infrared devices, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qmN6gw
via Yuichun

Nvidia, Intel expected to show latest progress on AI product lines

Nvidia and Intel are expected to unveil their latest plans on hardware platforms for artificial intelligence (AI) applications at Computex 2017, according to sources from the upstream supply chain.



from DIGITIMES: IT news from Asia http://ift.tt/2qSbZ7H
via Yuichun

China propels APeJ growth for fourth consecutive quarter as SMB stimulates increased demand for print, says IDC

According to the latest IDC Asia Pacific Quarterly Hardcopy Peripherals Tracker, the total hardcopy peripherals shipment in 2017Q1 for the Asia Pacific region excluding Japan (APeJ) was 7.27 million units. This is a 5.6% improvement compared to the same period last year and marks the fourth consecutive quarter of growth for the region. Both laser and inkjet markets have contributed to the growth, with laser growing 7.1% on year while inkjet grew by 8.1% on year. The region’s growth was largely driven by China, where the demand for print devices significantly grew as local government policies encouraging entrepreneurship helped to induce more than five million new businesses in 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2qn10iY
via Yuichun

Global smartphone shipments grow 9.1% on year in 1Q17, says Gartner

Global shipments of smartphones grew 9.1% on year to 380 million units in the first quarter of 2017, according to Gartner.

from DIGITIMES: IT news from Asia http://ift.tt/2qS7byW
via Yuichun

Pegatron to showcase new products on June 1

Pegatron is scheduled to showcase an array of new products and applications, including AI (artificial intelligence), VR (virtual reality) and in-car electronics devices, as well as wireless charging applications, on a product event to be held at its headquarters in Taipei on June 1. Pegatron chairman TH Tung will preside over the event.

from DIGITIMES: IT news from Asia http://ift.tt/2qmAqq4
via Yuichun

Shipments of 18:9 smartphone panels to surge in 2H17

In view of fast growing demand for 18:9 all-screen displays from smartphone vendors, panel makers will expand production of such panels in the second half of 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qSeJlm
via Yuichun

E Ink, Japan Display co-develop LTPS e-paper

E Ink Holdings (EIH) has revealed it has developed e-paper displays based on LTPS backplanes provided by Japan Display. Such e-paper displays have resolutions of 400ppi (pixels per inch) and 600ppi and can be used in e-book readers, smartphones, tablets and IoT (Internet of Things) electronic devices, EIH said.

from DIGITIMES: IT news from Asia http://ift.tt/2qmMc3T
via Yuichun

Artemis EMC2 platform in public domain

The  hardware demonstration platform developed by the EU’s Artemis EMC2 project has been placed in the public domain, says Sundance Multiprocessor Technology which architected and developed the platform. EMC² – Embedded Multicore systems for Mixed Criticality applications in dynamic and changeable real-time environments – is a €94 million project involving around 800 man-years of effort ...

Read full article: Artemis EMC2 platform in public domain



from News – Electronics Weekly http://ift.tt/2rjSHcN
via Yuichun

SPONSORED: Stopping Attacks: Security for Next Generation IoT Products and Applications



from EETimes: http://ift.tt/2rCo1TJ
via Yuichun

Sony Launches First Three-Layer, 960 fps Camera with Sandwich-Stacked DRAM

Details given in an ISSCC conference paper looked like the real thing; this caught the attention of our image-sensor experts inside TechInsights.

from EETimes: http://ift.tt/2rTdBMW
via Yuichun

2017年5月24日 星期三

Auto chip market to grow 22% this year

The automotive IC market is expected to grow  22% this year to a new record high of $28 billion, says IC Insights. After increasing 11.5% in 2014, the automotive IC market declined 2.5% in 2015, but then rebounded with 10.8% growth in 2016. The sales decline experienced in 2015 was primarily the result of falling ...

Read full article: Auto chip market to grow 22% this year



from News – Electronics Weekly http://ift.tt/2qeugNy
via Yuichun

Samsung lays out foundry process roadmap

Samsung has made an aggressive pitch for new customers for its foundry business which was recently restructured as a separate business unit. “To successfully compete in today’s fast-paced business environment, our customers need a foundry partner with a comprehensive roadmap at the advanced process nodes to achieve their business goals and objectives,” said Samsung  foundry ...

Read full article: Samsung lays out foundry process roadmap



from News – Electronics Weekly http://ift.tt/2qYCd6O
via Yuichun

The Competitive Advantage of NVMe SSDs in the Data Center

The real improvements have been with solid state drives (SSDs), which are based on NAND flash memory.

from EETimes: http://ift.tt/2qmdVBE
via Yuichun

Finlay Cuffe wins award at International Science and Engineering Fair in LA.

Finlay Cuffe (pictured below), the 17 year-old Sutton Grammar schoolboy, won  Third Place at last week’s Intel-sponsored International Science and Engineering Fair (ISEF) in Los Angeles. Finlay and his colleague Shahab Fazal from Manchester’s Loreto Sixth Form College, were the only two students representing Great Britain at the competition, which saw 1,778 students from 78 ...

Read full article: Finlay Cuffe wins award at International Science and Engineering Fair in LA.



from News – Electronics Weekly http://ift.tt/2qe0SqQ
via Yuichun

Intel to give royalty-free licences to Thunderbolt

Next year Intel will offer its Thunderbolt interface technology as a royalty-free licence. The move is designed to get the manufacturers of peripheral devices to use Thunderbolt. Intel will put the port on its processors and Microsoft will support the interface on Windows PCs. Thunderbolt was originally planned as a photonics interface but, when copper ...

Read full article: Intel to give royalty-free licences to Thunderbolt



from News – Electronics Weekly http://ift.tt/2qebm9E
via Yuichun

Intel to Drive DJI's Mini Drone

DJI claimed that Spark is "the first drone that users can control by hand gestures alone." Movidius' Myriad 2 Vision Processing Unit enables Spark to perform both computer vision/deep learning and image signal processing.

from EETimes: http://ift.tt/2qYi0Oo
via Yuichun

GaAs Nanowires Boost Solar

Gallium arsenide (GaAs) has always beat silicon at a higher price, but new formulation boost existing panels on-the-cheap.

from EETimes: http://ift.tt/2qdW2db
via Yuichun

Big Data Reshapes Silicon

Two new processor architectures will be described at a conference in June, the latest of many targeting machine learning for an age of big data.

from EETimes: http://ift.tt/2qYQznK
via Yuichun

NI's New CEO: Automotive To Be Key Opportunity

National Instruments' new CEO spoke about his transition to the top, what's driving the company, and about engineering education, in an interview with EE Times Senior Technical Editor Martin Rowe.

from EETimes: http://ift.tt/2qdVVhN
via Yuichun

Chip Design Takes on Functional Safety

To design chips meeting Functional Safety requirements is no cakewalk. What designers need is an automated end-to-end flow to take the guesswork out of Functional Safety design.

from EETimes: http://ift.tt/2qYwaPu
via Yuichun

Samsung Targets 4nm in 2020

Samsung's foundry unit updates tech roadmap, including the addition of 4nm process with "post FinFET structure" to begin production in 2020.

from EETimes: http://ift.tt/2rVL08L
via Yuichun

Western Digital Reportedly Ups Bid for Toshiba Memory

Bid worth about $18 million would resolve dispute with NAND partner but would likely heighten regulatory scrutiny.

from EETimes: http://ift.tt/2rUTihh
via Yuichun

LabView re-vamp entices non-programmers

National Instruments has released a new generation of its engineering system design software, called LabView NXG. “For a long time we focused on making additional things possible with LabVIEW, rather than furthering the goal of helping engineers automate measurements quickly and easily,” said NI co-founder Jeff Kodosky. “Now we are squarely addressing this with the introduction of ...

Read full article: LabView re-vamp entices non-programmers



from News – Electronics Weekly http://ift.tt/2qkjCQB
via Yuichun

1U rack PSU delivers 5kW

TDK-Lambda has introduced a series of programmable single-output power supplies that can deliver 5kW from a 1U rack-mount unit. ‘Zero stack’ design means that they can be inserted in a rack with no space in between, and four of them can be paralleled to produce 20kW. “They could go higher, but customers are usually looking for ...

Read full article: 1U rack PSU delivers 5kW



from News – Electronics Weekly http://ift.tt/2rTVCow
via Yuichun

Is Elon Musk's Brain Cap Viable?

Elon Musk's latest company, Neuralink, wants to add a new layer to the brain for telepathic communication among each other and our machines, but the founder of Starmind believes he's wrong.

from EETimes: http://ift.tt/2rgRC5h
via Yuichun

Brightsparks selection panel hails class of 2017

As part of the EW BrightSparks programme, we wanted to share with you the comments of the industry experts that made up our selection panel.

Read full article: Brightsparks selection panel hails class of 2017



from News – Electronics Weekly http://ift.tt/2qVpEJx
via Yuichun

The BrightSparks are the UK’s future

RS Components CEO Lindsley Ruth talks to Josh Brooks about the promise embodied in the 2017 BrightSparks cohort. Read more about the EW BrightSparks 2017 programme » What have you made of the young people who have come through the BrightSparks programme and who we have met today? It’s been an amazing experience and I’ve ...

Read full article: The BrightSparks are the UK’s future



from News – Electronics Weekly http://ift.tt/2qje1Kd
via Yuichun

Hefei Chang Xin keeps low profile but DRAM ambition remains unchanged

Hefei Chang Xin's ambition to grow its DRAM business has seen some progress though the China-based firm has now chosen to keep a low profile. The company has been quietly renamed to Rui-li (transliterated from Chinese) Integrated Circuit, and has asked fab toolmakers to start shipping at the end of 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qO8AGS
via Yuichun

Weltrend to see revenues expand by double-digit rate in 2017

IC design house Weltrend Semiconductor is expected to see its revenues grow by a double-digit rate in 2017 from NT$2.048 billion (US$67.96 million) recorded in 2016, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2qiXmGA
via Yuichun

Micro LED to come into trial production in 2H17

A few makers engaged in R&D for micro LED display products, despite many technological bottlenecks, are expected to take the initiative to begin trial production in the second half of 2017 at the earliest, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qNXW2W
via Yuichun

ASE lands FOWLP packaging orders from Infineon, says paper

Advanced Semiconductor Engineering (ASE) has reportedly secured orders for providing fan-out wafer-level packaging (FOWLP) service for Infineon Technologies' power management (PWM) chips, according to a Chinese-language Commercial Times report.

from DIGITIMES: IT news from Asia http://ift.tt/2qiSB02
via Yuichun

AUO at SID Display Week 2017

TFT-LCD panel maker AU Optronics (AUO) is showcasing various applications, including Ultra HD LTPS LCD panels, 1.2- and 1.4-inch circle-shaped AMOLED smartwatches panels, free-form automotive display panels and Ultra HD panels for gaming notebooks and desktop monitors, at the Display Week 2017 hosted by US-based industry organization Society for Information Display (SID) in Los Angeles during May 23-25.

from DIGITIMES: IT news from Asia http://ift.tt/2qO3cTY
via Yuichun

Taiwan market: Samsung Pay launched

Samsung Electronics formally launched Samsung Pay on May 23 in Taiwan after a three-week trial operation of the smartphone-based e-payment services in cooperation with seven local banks.

from DIGITIMES: IT news from Asia http://ift.tt/2qiVUEd
via Yuichun

Posiflex unveils new headquarters

Own-brand POS (point of sale/service) device and peripheral maker Posiflex Technology has unveiled an 8-story headquarters building located in New Taipei City, northern Taiwan, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2qOfPyp
via Yuichun

Foxconn, Sharp to invest in micro LED R&D

Sharp disclosed it will invest US$7 million to take a 31.82% stake in eLux, a US-based start-up business engaged in R&D of micro LED technology and its application to displays. Sharp's majority shareholder, Foxconn Electronics, said its subsidiary CyberNet Venture Capital, affiliated LCD panel maker Innolux and affiliated LED packaging service provider Advanced Optoelectronic Technology (AOT) will also take stakes (45.45%, 13.64% and 9.09% respectively) in eLux.

from DIGITIMES: IT news from Asia http://ift.tt/2qiUwS7
via Yuichun

ChipMOS reportedly lands gold bumping orders for AMOLED driver ICs from Samsung

ChipMOS Technologies reportedly has landed orders from Samsung Electronics for providing gold bumping services on 12-inch wafers fabricated to produce AMOLED driver ICs to be used by new iPhone devices, according to a Chinese-language Commercial Times report.



from DIGITIMES: IT news from Asia http://ift.tt/2qOdHXB
via Yuichun

3S teaming up with Marvell to develop 28nm controller chips

Solid State System (3S) has announced it is teaming up with Marvell to develop DRAM-less SSD controller solutions.

from DIGITIMES: IT news from Asia http://ift.tt/2qiUw4A
via Yuichun

Taiwan April manufacturing production index down on month, up on year, says MOEA

Taiwan recorded a manufacturing production index (2011 as base year) of 104.11 for April 2017, decreasing 10.02% on month but increasing 0.79% on year, according to statistics released by the Ministry of Economic Affairs (MOEA) on May 23.

from DIGITIMES: IT news from Asia http://ift.tt/2qOmSqX
via Yuichun

Globalfoundries and Chengdu partner to expand FD-SOI ecosystem in China

Globalfoundries and the Chengdu municipality have announced an investment to spur innovation in China's semiconductor industry. The partners plan to build a FD-SOI ecosystem including multiple design centers in Chengdu and university programs across China. The investment of more than US$100 million is expected to attract leading semiconductor companies to Chengdu, making it a center of excellence for designing next-generation chips in mobile, Internet-of-Things (IoT), automotive and other high-growth markets.

from DIGITIMES: IT news from Asia http://ift.tt/2qiSP7l
via Yuichun

u-blox, NXP and Commsignia combine on V2X

u‑blox, Commsignia and NXP Are combining to pursue Vehicle‑to‑Vehicle (V2V) and Vehicle‑to‑Infrastructure (V2I) technology. The adoption of V2X (V2I and V2V) technology in North America and European markets equips new vehicles with the ability to share information with other similarly equipped vehicles, infrastructure and even pedestrians within a radius of up to 1km. IEEE 802.11p ...

Read full article: u-blox, NXP and Commsignia combine on V2X



from News – Electronics Weekly http://ift.tt/2rg0SXq
via Yuichun

China chips looking chipper

In Q1 the output value of China’s IC design industry was $5.1 billion, says the China SIA, and the output value of China’s backend industry was $4.88 billion. The design output figure was 23.8% up on Q1 2016 The backend output value was up 11.2% on Q1 20162 The output value of China’s IC manufacturing ...

Read full article: China chips looking chipper



from News – Electronics Weekly http://ift.tt/2qf3G2H
via Yuichun

Imec develops pre-bond tester for 3D chips

 Imec and wafer-probe specialist Cascade Microtech have developed  an automatic system for pre-bond testing of advanced 3D chips. Pre-bond testing is important to increase the yield of 3D stacked chips. The new system enables probing and hence testing of chips with large arrays of 40µm-pitch micro-bumps, on 300mm wafers.   As an emerging technology, 3D ...

Read full article: Imec develops pre-bond tester for 3D chips



from News – Electronics Weekly http://ift.tt/2rfZiVp
via Yuichun

CEE VC funding soars

Central and Eastern Europe are beginning to see significant VC investment, according to Dealroom.co. In 2016, start-ups in the region raised €177 million – not much compared to Europe and Israel’s €4.5 billion of VC investment last year – but significantly up on 2012’s CEE VC investment of €15 million. A catalyst for CEE VC ...

Read full article: CEE VC funding soars



from News – Electronics Weekly http://ift.tt/2rP9lOi
via Yuichun

2017年5月23日 星期二

SEMI April billings up 49%

SEMI reports April billings of $2.17 billion – 4.6% higher than the March 2017 level of $2.08 billion, and 48.9% higher than the April 2016 billings level of $1.46 billion. “Semiconductor equipment billings levels exceed two billion dollars for the second month in a row,” says SEMI CEO Ajit Manocha, “solid market fundamentals, coupled with ...

Read full article: SEMI April billings up 49%



from News – Electronics Weekly http://ift.tt/2rSbuIn
via Yuichun

'Electronic Road' Charging For EVs Moves Forward



from EETimes: http://ift.tt/2rRc1u5
via Yuichun

NYU Student Interns as iPhone Assembler in China

SHANGHAI, CHINA--A New York University student recently interned undercover in a factory here that assembles Apple iPhones. He worked six days per week installing screws into iPhones for about $2.50 per hour. More



from Electronics Assembly http://ift.tt/2qSOgV6
via Yuichun

SPONSORED: Wideband I/Q Demodulation for Zero-IF High Dynamic Range Receivers



from EETimes: http://ift.tt/2qS60jm
via Yuichun

LinkedIn Group Preps Server Specs

LinkedIn has partnered with Hewlett-Packard Enterprise, GE Digital, and others to form Open19, a group working on server specs for data centers.

from EETimes: http://ift.tt/2q7yc2w
via Yuichun

WVGA GaN microdisplay from Leti

French research lab Leti has created what it claims is the world’s first gallium nitride WVGA (873 x 500) microdisplay with 10μm pixel pitch. “10μm pixel pitch technology will help address the demand for augmented-reality glasses for consumer and professional users, head-up displays for vehicle drivers and for pico projectors,” said the lab. Said to ...

Read full article: WVGA GaN microdisplay from Leti



from News – Electronics Weekly http://ift.tt/2qfAd8c
via Yuichun

GloFo and Chengdu to build FD-SOI centre of excellence

Globalfoundries and Chengdu town in China are putting $100 million into setting up an FD-SOI design centre. GloFo and Chengdu recently agreed to build a jv 300mm fab for 22nm FD-SOI (site pictured). They now  plan to establish multiple centers focused on IP development, IC design and incubating fabless companies in Chengdu, with the expectation of hiring ...

Read full article: GloFo and Chengdu to build FD-SOI centre of excellence



from News – Electronics Weekly http://ift.tt/2qfdXLN
via Yuichun

Driverless car study in Germany probes driver reaction times

A US-based artificial intelligence (AI) firm is working with researchers in Germany to apply conversational and cognitive AI for autonomous systems for self-driving cars. Nuance Communications and Deutsches Forschungszentrum für Künstliche Intelligenz (DFKI), the German Research Centre for Artificial Intelligence have joint research initiatives, including the relationship between humans and in-car systems, as well as ...

Read full article: Driverless car study in Germany probes driver reaction times



from News – Electronics Weekly http://ift.tt/2qQkHnd
via Yuichun

200MHz multi-instrument works with an iPad

Combining an oscilloscope, waveform generator and six other instruments, Moku:Lab comes from Australian firm Liquid Instruments. It has: Oscilloscope Waveform generator Spectrum analyser Phase meter Data logger Lock-in amplifier PID controller Bode analyser Control and display is through any iPad with a Retina display running the Moku:Lab app. “Moku:Lab app contains everything you need to manage ...

Read full article: 200MHz multi-instrument works with an iPad



from News – Electronics Weekly http://ift.tt/2rOhfqi
via Yuichun

China Set to Rewrite FD-SOI History

Globalfoundries and the municipality of Chengdu are betting on China to rewrite the history of FD-SOI. They plan to pump $100 million to build FD-SOI ecosystem. The question is, if you build it, will they come?

from EETimes: http://ift.tt/2q6sUEI
via Yuichun

RS owner Electrocomponents posts strong revenue and profits growth

Electrocomponents, the parent company of distributor RS Components, has posted strong growth in both sales and profits in full-year results published this morning.

Read full article: RS owner Electrocomponents posts strong revenue and profits growth



from News – Electronics Weekly http://ift.tt/2rObU2q
via Yuichun

Vapor chamber may become new heat-dissipation choice for smartphones

Smartphone vendors have been eager to try out vapor chamber technology to improve heat dissipation efficiency, with Taiwan-based Asustek Computer and China-based ZTE having undertaken small-volume trial use and Apple rumored to be interested in the solution, according to Taiwan-based suply chain makers.

from DIGITIMES: IT news from Asia http://ift.tt/2rc9DBX
via Yuichun

Axiomtek focuses development on smart factory, transport solutions

Industrial computing device maker Axiomtek focuses mid- and long-term business development on smart factory and smart transportation solutions, with the former including sensors and systems used in automation of manufacturing processes and the latter targeted for use in mass rapid transit systems, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2rLfYBf
via Yuichun

Taiwan market: Alliance Digital Tech to boost mobile e-payment services

Alliance Digital Tech, a TSM (trusted service management) company jointly established by five local mobile telecom carriers and EasyCard Corp., will promote mobile e-payment services by marketing NFC (near field communication)-enabled SIM cards pre-installed with functions of EasyCard which enables contact mobile e-payment for transportation services, parking, small-amount retail shopping, beginning at the end of June, according to the company

from DIGITIMES: IT news from Asia http://ift.tt/2rc8sST
via Yuichun

China outpaces Taiwan in IC design, backend industry output value

The output value of both China's IC design and backend industry sectors has already outpaced that of their counterparts in Taiwan. According to the China Semiconductor Industry Association (CSIA), the output value of China's IC design and backend industry sectors came to CNY35.16 billion (US$5.1 billion) and CNY33.65 billion (US$4.88 billion), respectively, in the first quarter of 2017.

from DIGITIMES: IT news from Asia http://ift.tt/2rKYg0P
via Yuichun

Taiwan market: FET offers rental online consulting services

Far EasTone Telecommunications (FET) has teamed up with Soluto to launch online consulting services regarding use of handsets and connected consumer electronics for its subscribers, according to the mobile telecom carrier.

from DIGITIMES: IT news from Asia http://ift.tt/2rcbhmN
via Yuichun

Huawei competes head to head with Oppo in domestic and overseas markets

Competition between China-based Huawei and rival Oppo for ramping up their smartphone shipments in the domestic and overseas markets are to intensify in the second half of 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2rLbkmV
via Yuichun

China market: Advantech offers SPMS for cloud-computing management of distributed PV systems

Industrial computing device maker Advantech has offered Solar Power Management System (SPMS), a smart platform for remote monitoring and cloud-computing management of power generation by distributed PV systems, in China, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2rbWUPs
via Yuichun

China sets tentative target installation capacity of 8-10GWp for model PV power stations in 3rd round

China has set a tentative target installation capacity of 8-10GWp for the third-round selection of model PV power-generating stations, according to China-based media reports.

from DIGITIMES: IT news from Asia http://ift.tt/2rLA7XJ
via Yuichun

GIS setting up OLED touch display module capacity

Touch panel maker General Interface Solution (GIS) has undertaken R&D of OLED touch display modules (back-end OLED manufacturing) for nearly one year and is setting up a production line, with trial production to kick off at the end of 2017 and volume production in 2018, according to company chairman Chou Hsien-ying.



from DIGITIMES: IT news from Asia http://ift.tt/2rc45Hq
via Yuichun

Senao Networks looks to brisk sales in 2H17

Wireless networking device vendor Senao Networks expects its sales to remain flat in the second quarter of 2017 as compared to the previous quarter before gaining momentum in the second half of the year, according to company chairman Tommy Tsai.

from DIGITIMES: IT news from Asia http://ift.tt/2rL9tOS
via Yuichun

FIH Mobile warns losses to expand in 1H17

FIH Mobile has issued a warning on its profitability, saying its net losses for the first half of 2017 are expected to more than double to US$240 million compared to US$110 million forecast in April.

from DIGITIMES: IT news from Asia http://ift.tt/2rc56PT
via Yuichun

Taiwan attracts foreign direct investment of US$1.462 billion in January-April, says MOEA

Taiwan's Ministry of Economic Affairs (MOEA) approved 991 foreign direct investment projects (except from China) with a total value of US$1.462 billion in January-April 2017, respectively decreasing 2.75% and 4.68% on year, according to MOEA statistics released on May 22.



from DIGITIMES: IT news from Asia http://ift.tt/2rLpNiG
via Yuichun

Taiwan April export order value down on month, up on year, says MOEA

Taiwan received export orders worth US$35.61 billion in total in April 2017, decreasing 13.4% on month but increasing 7.4% on year which was on-year growth for the ninth consecutive month, according to statistics released by the Ministry of Economic Affairs (MOEA) on May 22.

from DIGITIMES: IT news from Asia http://ift.tt/2rbSZSH
via Yuichun

Digitimes Research: Taiwan makers to ship nearly 21 million handsets in 2Q17

Taiwan-based ODMs, OBMs (own-brand manufacturers) and JDM (joint-design manufacturers), but not including OEMs (such as for the iPhone), will together ship 20.92 million smartphones and feature phones in the second quarter of 2017, increasing 38.1% on quarter and 73.5% on year and accounting for 4.5% of global total, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2rLhH9O
via Yuichun

Phison still eyeing stake in Toshiba chip unit

Phison Electronics originally planned to invest US$500 million in Toshiba's memory chip unit, but the plan has been postponed as Toshiba decided to sell more of the business' stake, according to Khein Seng Pua, chairman for the Taiwan-based memory-device controller supplier.

from DIGITIMES: IT news from Asia http://ift.tt/2rbWUiq
via Yuichun

Robust chip demand from China smartphone firms to boost revenues at IC distributors

Taiwan-based IC distributors including WPG, WT Microelectronics, Supreme Electronics, Coasia Microelectronics and Sunnic Technology & Merchandise, Audix and Edom Technology will see sales generated from the mobile communications sector climb as a proportion of company revenues in the third quarter driven by robust demand from China-based smartphone vendors Huawei, Oppo and Vivo, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2rLhtzp
via Yuichun

ENISA lays out IoT security proposal

The EU Agency for Network and Information security – ENISA – together with ST, NXP and Infineon is proposing a similar system to the CE marking  system to show a  label if security is incorporated into electronic products. The paper focuses on four areas: standardisation and certification, security processes and services, security requirements and implementation, ...

Read full article: ENISA lays out IoT security proposal



from News – Electronics Weekly http://ift.tt/2rNJDcg
via Yuichun

2017年5月22日 星期一

Arrow signs Libelium

Arrow and Libelium, the wireless and sensor specialist, have signed a global distribution agreement. Libelium has been deploying  remote sensing systems in applications including forestry, agriculture, environmental monitoring, smart manufacturing and traffic control since 2006. The company’s Waspmote hardware architecture has been designed to function with low power consumption. Individual sensor interfaces and radio modules ...

Read full article: Arrow signs Libelium



from News – Electronics Weekly http://ift.tt/2rKQJ1P
via Yuichun

Low-cost System Spots Fake ICs

A new technology lets engineers identify rogue devices with the speed and resolution needed to make it practical for industry and university labs.

from EETimes: http://ift.tt/2qdxpbz
via Yuichun

PCIM: BLDC motor chip has built-in algorithm

Allegro MicroSystems has announced a 3-phase sensor-less brush-less dc (BLDC) fan driver IC with sinusoidal outputs to minimise audible noise and vibration. A5931 on left, and conventional equivalent on right The chip, called A5931, includes output mosfets and a built-in control algorithm running on a state-machine – easing ASIL automotive qualification, claimed the firm, because ...

Read full article: PCIM: BLDC motor chip has built-in algorithm



from News – Electronics Weekly http://ift.tt/2qHxIz1
via Yuichun

12 Views on the Future of Electronics

Speakers at the Imec Tech Forum discussed the outlook for machine learning, medical electronics, wired and wireless communications, and more.

from EETimes: http://ift.tt/2qOxe8L
via Yuichun

PCIM: Heat spreader keeps shape despite thermal cycling

Aiming at IGBT modules, Japanese heat spreader maker ALMT has developed a thermal base plate alloy that improves heatsink contact. IGBT base plates are subtly convex (see diagram) so that when they are mounted on a flat base plate, the screw holes have a gap beneath them (~200μm). When the screws are tightened, the curve ...

Read full article: PCIM: Heat spreader keeps shape despite thermal cycling



from News – Electronics Weekly http://ift.tt/2qbu9gQ
via Yuichun

IQD oscillators have low phase jitter

IQD, the Somerset quartz crystal specialist, has a  new STXO series of surface mount clock oscillators  with an ultra-low integrated RMS phase jitter of 256fs at 2.5V and RMS period jitter of 1.4ps over 10,000 cycles. With a phase noise level of -138dBc/Hz @ 1kHz and -163dBc/Hz at the noise floor, this new series is ideal for ...

Read full article: IQD oscillators have low phase jitter



from News – Electronics Weekly http://ift.tt/2qNE5iu
via Yuichun

GCL-Poly stays focused on poly-Si and solar wafers, says chairman

GCL-Poly Energy Holdings, the largest China-based maker of poly-Si solar wafers, will maintain production of polysilicon and solar wafers as core business, company chairman Zhu Gongshan has told Digitimes in an exclusive interview.

from DIGITIMES: IT news from Asia http://ift.tt/2q1qRlm
via Yuichun

iPhone shipments still grow in US, UK, Australia in 1Q17, says Kantar Worldpanel

Despite an overall 0.8% on year shipment decline in the first quarter of 2017, shipments of iPhone devices in the UK, US and Australia still posted a growth of 5.6%, 5.2% and 3.8% on year, respectively, in the quarter, according to Kantar Worldpanel.

from DIGITIMES: IT news from Asia http://ift.tt/2qNq7NE
via Yuichun

China expanding bike-sharing market to boost IoT chip demand, says MediaTek

China's bicycle-sharing market has been expanding, which will boost demand for IoT chips, according to MediaTek corporate VP JC Hsu. The bike-sharing market in China has already far exceeded MediaTek's original expectations, he added.

from DIGITIMES: IT news from Asia http://ift.tt/2q1r3Rj
via Yuichun

China market: LeEco CEO steps down

Jia Yueting, chairman and CEO of China-based LeEco, has stepped down as CEO of the company but will retain his position as chairman, according to a recent stock exchange filing.



from DIGITIMES: IT news from Asia http://ift.tt/2qNumZI
via Yuichun

Taiwan April unemployment rate down

Taiwan had 432,000 jobless citizens in April 2017, equivalent to an unemployment rate of 3.67% which dropped 0.11pp sequentially and 0.19pp on year, according to the Directorate-General of Budget, Accounting and Statistics (DGBAS).

from DIGITIMES: IT news from Asia http://ift.tt/2q1gVrS
via Yuichun

Northland Power, Yushan Energy jointly propose offshore wind farm project in Taiwan

Canada-based Northland Power and Singapore-based Yushan Energy have jointly proposed a project to establish wind power-generating facilities some 50km off Taiwan's west coast, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qNehmU
via Yuichun

Shipments of Google Daydream-enabled smartphones to reach over 10 million units in 2017

Global shipments of smartphones supporting Google's Daydream platform will reach over 10 million units in 2017, according to Clay Bavor, vice president of virtual and augmented reality at Google.



from DIGITIMES: IT news from Asia http://ift.tt/2q1qR4Q
via Yuichun

Beijing BOE Energy Technology adopts Advantech-developed SPMS

Beijing BOE Energy Technology, a PV power generation EPC (engineering, procurement, construction) contractor and operator belonging to China-based BOE Technology Group, has adopted Solar Power Management System (SPMS) developed by Taiwan-based industrial computing device maker Advantech for centralized management of PV power-generating and distributed systems around China, according to Advantech.

from DIGITIMES: IT news from Asia http://ift.tt/2qNpmnD
via Yuichun

Epistar expects increases in CSP LED chip shipments for TV BLUs in 3Q17

LED epiwafer and chip maker Epistar expects shipments of CSP (chip-scale packaging) LED chips for LCD TV backlighting to increase beginning third-quarter 2017, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/2q1mg2c
via Yuichun

Alibaba nets CNY4.12 per share for 1Q17

China-based e-commerce operator Alibaba Group Holding has released its financial report for fiscal fourth-quarter 2017 (ended March 31, 2017), posting consolidated revenues of CNY38.579 billion (US$5.605 billion), growing 59.5% on year; net operating profit of CNY9.532 billion, up 86.5%; net profit of CNY9.852 billion, up 85.4%; and net EPS of CNY4.12.

from DIGITIMES: IT news from Asia http://ift.tt/2qNjmvt
via Yuichun

Acer expects stable LCD monitor shipments in 2017 with higher ASP

While global demand for LCD monitors keeps decreasing, Acer expect its LCD monitor shipments to be stable in 2017, with the corresponding average selling price (ASP) to hike as a result of a stronger focus on gaming models, according to the company's Display business general manager Victor Chien.

from DIGITIMES: IT news from Asia http://ift.tt/2q1pmDq
via Yuichun

ALi completes share repurchase

Set-top box (STB) solution provider ALi has repurchased about NT$46.95 million (US$1.56 million) worth, or 3.1 million units, of its common shares from the open market, according to a filing with the Taiwan Stock Exchange (TSE). Average price per share was NT$15.15.



from DIGITIMES: IT news from Asia http://ift.tt/2qND2iA
via Yuichun

Applied posts record revenues and earnings for fiscal 2Q17

Applied Materials has reported that its net sales increased 45% from a year earlier to US$3.55 billion for its second quarter ended April 30, 2017, while earnings per share (EPS) surged 162% on year to US$0.76. On a non-GAAP adjusted basis, EPS for the quarter grew 132% to US$0.79.

from DIGITIMES: IT news from Asia http://ift.tt/2q1pnHu
via Yuichun

Samsung to boost M&A

Samsung Electronics says it is stepping up its efforts on the acquisition trail. The company says it wants to buy companies which have the technology to make Samsung’s existing product line more attractive. Earlier this year it bought Harman for $8 billion in an attempt to accelerate its moves into the automotive components market. Another ...

Read full article: Samsung to boost M&A



from News – Electronics Weekly http://ift.tt/2qbgs1u
via Yuichun

2017年5月21日 星期日

EnSilica on hiring spree

Growth of over 80% this year at ASIC and IP specialist EnSilica has sparked a recruitment drive to fuel its  expansion. The company is looking for ten more engineers to add to its 100 employee headcount. “With significant year-on-year sales growth in our key geographic markets of Europe and Asia, both in relation to our ...

Read full article: EnSilica on hiring spree



from News – Electronics Weekly http://ift.tt/2q1oH5b
via Yuichun

Productive4.0 recruits 100+ partners for Industry 4.0.

 “Productive4.0”, the largest European research initiative for Industry 4.0, has attracted over 100 partners from 19 European countries working on digitising and networking industry. Involved in the project are Infineon, BMW, Bosch, Philips, Thales, NXP, STM, SAP, ABB, Volvo, Ericsson, the Karlsruhe Institute of Technology, Fraunhofer and the TU Dresden. “Real-time connected value chains will ...

Read full article: Productive4.0 recruits 100+ partners for Industry 4.0.



from News – Electronics Weekly http://ift.tt/2rsd1Iq
via Yuichun

2017年5月20日 星期六

EEVblog #995 – Power Supply Sequencing

Dave shows how to do power supply MOSFET rail switching and demonstrates and discusses why supply sequencing might be needed.

Forum HERE



from EEVblog http://ift.tt/2qJqadb
via Yuichun

2017年5月19日 星期五

Intel Sees Robo-car As Data Flow Issue

Car companies rarely discuss behind-the-scene development work on their autonomous vehicles. The notable exception is Intel, which styles itself as a "data company." Intel laid out how custom data center architecture is critical in processing autonomous vehicle data.

from EETimes: http://ift.tt/2qGm59D
via Yuichun

Quantum Computing Uses Standard Hardware

Software versions of quantum annealing that handle jobs too large for D-Wave hardware were developed at Manchester Metropolitan University and put into use at ServicePower.

from EETimes: http://ift.tt/2q4PtVp
via Yuichun

4 Views of the Silicon Road Map

Imec's chief semiconductor expert shared her views on EUV lithography, FinFETs, and what lies beyond in new transistors and memories.

from EETimes: http://ift.tt/2qBo3u0
via Yuichun

PCIM: Old ferrites get new life for GaN switching

GaN power transistors are giving new life to old ferrites as PSU designers seek magnetic components that can keep up with multi-MHz switching, according to Ferroxcube. “We are providing a lot of samples of 3F46 and 3F36 around the world,” the company’s product manager Artur Pawlak told Electronics Weekly at PCIM in Nuremberg. A decade ...

Read full article: PCIM: Old ferrites get new life for GaN switching



from News – Electronics Weekly http://ift.tt/2q3z7w5
via Yuichun

Volta and Vega: With increased integration, what's left for Taiwan vendors to customize?

Last week's Nvidia GTC event was - from the hardware point of view, at least - all about the new Volta GPU generation, and its expected leadership in AI (Artificial intelligence) and HPC (High-performance computing). As an example, a single 19-inch rack sized moderately dense Volta cabinet, with 200 to 250 of them besides the (still mandatory for now) CPUs, would match or exceed the Linpack FP performance of Taiwan's freshly ordered first Petaflop-class, multi-rack system from Fujitsu.

from DIGITIMES: IT news from Asia http://ift.tt/2q2TxFI
via Yuichun

Tripod disposes of factory and land in China

Taiwan-based PCB firm Tripod Technology announced on May 18 the company had disposed of a factory and land located in Zhejiang province of China for CNY73.99 million (US$10.7 million).

from DIGITIMES: IT news from Asia http://ift.tt/2pRTNfc
via Yuichun

IHS sees first positive smartphone shipment growth in 2 years

The global smartphone market continues its recovery, with the top three China-based OEMs posting impressive gains. Samsung continued to lead the pack in smartphone shipments during the first quarter of 2017, shipping 79.1 million units. That is an increase of 0.1% year-over-year, according to new analysis from IHS Markit. Apple is in the second spot, shipping 50.8 million phones, down 0.8% from a year ago.



from DIGITIMES: IT news from Asia http://ift.tt/2qDpYfA
via Yuichun

Google to team up with Asustek to launch new AR smartphone

Google will team up with Asustek Computer to roll out its second-generation AR-enabled smartphone expecting to launch the new phone in the third quarter of 2017 at the earliest, according to Google. The Internet company cooperated with Lenovo to launch its first generation AR smartphone in 2016.

from DIGITIMES: IT news from Asia http://ift.tt/2pRTp0A
via Yuichun

Apple to ship 5-6 million 10.5-inch iPad Pro tablets in 2017, say Taiwan makers

The upstream supply chain's shipments for Apple's new 10.5-inch iPad Pro have been increasing recently and the device's monthly shipment volume is expected to grow to 600,000 units in July, up from around 500,000 units currently, helping its annual shipments to reach five million units in 2017, according to some market watchers. The 10.5-inch iPad Pro began mass production in March-April.

from DIGITIMES: IT news from Asia http://ift.tt/2qDHb8W
via Yuichun

Innolux nets EPS NT$1.61 for January-April period

TFT-LCD panel maker Innolux has reported net profit of NT$4.162 billion (US$137.57 million) or NT$0.42 per share on consolidated revenues of NT$28.282 billion for April. For the first four months of 2017, net profits totaled NT$16.020 billion, which translated into an EPS of NT$1.61 for the four-month period.

from DIGITIMES: IT news from Asia http://ift.tt/2pRTxNh
via Yuichun

Smart PV management systems help maximize power-generating efficiency

Smart PV management systems, through IoT (Internet of Things)-based monitoring of PV power-generating equipment and collection of various data about power generation, enable users to understand operating conditions of all distributed PV systems and thereby adjust operation of the distributed PV systems to maximize power generation, according to industrial computing device maker Advantech.

from DIGITIMES: IT news from Asia http://ift.tt/2qDMYeE
via Yuichun

Protective component maker Thinking posts record gross margin in 1Q17

Circuit protection device specialist Thinking Electronic Industrial saw its gross margin climb to a record 35.92% in the first quarter of 2017 thanks to a more diversified product line.



from DIGITIMES: IT news from Asia http://ift.tt/2pRTv87
via Yuichun

Digitimes Research: Top-5 notebook vendors see 6% on-year growth in April shipments

Worldwide top-5 notebook brand vendors together and top-3 ODMs combined saw their shipments decrease 37% and 32% on month, respectively in April because of their strong shipments in March and weak demand in April. Since April was still in the slow season for the notebook market, inventory adjustment was the key focus for most brand vendors. However, compared to volumes in the same month a year ago, the top-5 vendors' combined shipments were up 6%, while the top-3 ODMs saw growth of 11%, showing that the worldwide notebook market was still on track to a healthy rebound.

from DIGITIMES: IT news from Asia http://ift.tt/2qDp17c
via Yuichun

China market: MediaTek facing keen competition in IoT sector

In addition to facing keen competition from Qualcomm and Spreadtrum Communications in the smartphone solution market in China, MediaTek is also facing increasing challenge from Huawei in China's IoT chip market, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2pRFAPI
via Yuichun

2017年5月18日 星期四

Qorvo has a $3bn FY 2017

Qorvo, the RF specialist which gets 37% of its revenues from Apple, saw calendar Q1 revenues increase 5.7% y-o-y to $643 million. Gross margin was 36.0% and the operating loss was $24.5 million. “For fiscal year 2017, Qorvo delivered revenue of $3 billion, up 16% year-over-year, with 23% growth in IDP and 14% growth in ...

Read full article: Qorvo has a $3bn FY 2017



from News – Electronics Weekly http://ift.tt/2qDgtwX
via Yuichun

Applied numbers growing strongly

Applied Materials had record revenue of $3.55 billion up 45% y-o-y for calendar Q1 Gross margin grew 4.1 points to 45.1% and operating margin increased by 9.2 points to 26.5%. The company generated $898 million in cash from operations and returned $390 million to shareholders through stock repurchases and cash dividends. “Applied Materials delivered the ...

Read full article: Applied numbers growing strongly



from News – Electronics Weekly http://ift.tt/2qCV72R
via Yuichun

Imagination Sells SoC Design Group

UK-based IP vendor sells group to design services firm for undisclosed firm.

from EETimes: http://ift.tt/2pR4UFm
via Yuichun

PCIM: All-SiC 1,200V 600A power modules from Rohm

Rohm has developed All-SiC 1,200V 600A power module, optimised for inverters and converters in solar power conditioners, UPS, and industrial power Compared with IGBTs, at a chip temperature of 150°C, the firm is claiming 64% reduction in switching losses. BSM600D12P3G001 achieves 600A rating through a new package, whose flatter baseplate decreases contact resistance by 57%. ...

Read full article: PCIM: All-SiC 1,200V 600A power modules from Rohm



from News – Electronics Weekly http://ift.tt/2pOXWAM
via Yuichun

Renesas Getting Healthy with Healthcare

Renesas is pushing the company's healthcare solutions. Its bio-sensing reference module, measuring 11x18 mm, coupled with software analytics, can continuously monitor blood pressure. Other home-grown technologies in the offing include Silicon-on-Thin-Box (SOTB) and wireless charging technology.

from EETimes: http://ift.tt/2qAPy56
via Yuichun

Qualcomm Takes on the World, in Court

When Intel and Samsung joined the fray last Friday by filing amicus briefs in support of the FTC's complaint against, matters went from bad to worse for Qualcomm

from EETimes: http://ift.tt/2pZWUgE
via Yuichun

AMD Goes Epyc for Data Centers

So far AMD is making good progress in its return to profitability, but it's too early to raise the "mission accomplished" banner.

from EETimes: http://ift.tt/2riwZoR
via Yuichun

PCIM: 1700V IGBT driver delivers 8A

Power Integrations has increased the isolation voltage of its SCALE-iDriver family, adding 1,700V models that can drive IGBT and mosfet gates at up to 8A. Applications with 400 and 690Vac lines are expected. “They are also ideal for the three-level topology photovoltaic inverters and photovoltaic arrays leveraging the new 1,500V DC bus standard,” said the ...

Read full article: PCIM: 1700V IGBT driver delivers 8A



from News – Electronics Weekly http://ift.tt/2qw18k1
via Yuichun

PCIM: SiC mosfet driver reference from ADI and Microsemi

Microsemi and Analog Devices were showing a 5kV isolated SiC mosfet gate driver design at PCIM in Germany this week. Isolation comes from ADI’s ADuM4135 isolated gate driver (see diag below), with IXYS IXDN630YI booster providing silicon carbide gate drive voltages. “The design provides customers with an isolated dual-gate driver switch for evaluating SiC mosfets ...

Read full article: PCIM: SiC mosfet driver reference from ADI and Microsemi



from News – Electronics Weekly http://ift.tt/2pWoFYa
via Yuichun

Farnell accessories turn Pi into PC

Farnell element14 has brought out Pi Desktop – a kit that converts a Raspberry Pi into a fully featured and packaged desktop computer. The  Pi Desktop is a set of accessories that converts a Raspberry Pi into a Linux-based desktop computer. When combined with the Raspberry Pi, the Pi Desktop provides users with all the functionality ...

Read full article: Farnell accessories turn Pi into PC



from News – Electronics Weekly http://ift.tt/2qvQGZG
via Yuichun

PCIM: Maxim power converter aims for safe power levels

The growing use of microprocessor-based control systems in industrial environments has highlighted to need to design in higher levels of reliability. This means systems need to conform to industrial safety standard regulations. They also need to be protected from high voltage transients up to 60V on a 24V or 48V bus. Maxim Integrated has addressed ...

Read full article: PCIM: Maxim power converter aims for safe power levels



from News – Electronics Weekly http://ift.tt/2rueMCj
via Yuichun

Clevo sees earnings drop nearly 50% on year in 1Q17

Notebook vendor and IT mall operator Clevo saw its net profits decrease 46.15% on year to NT$37.55 million (US$1.247 million) in the first quarter of 2017. EPS for the first quarter reached only N$0.06.

from DIGITIMES: IT news from Asia http://ift.tt/2rtXYKv
via Yuichun

Capacitor maker Apaq sees earnings drop 80% on year in 1Q17

Solid electrolytic capacitor maker Apaq Technology has reported net profits of NT$11 million (US$365,310) for the first quarter of 2017, decreasing 80% from a year earlier. EPS for the first quarter stood at NT$0.16

from DIGITIMES: IT news from Asia http://ift.tt/2pNXLpa
via Yuichun

Intel looking to bundle motherboard and memory to promote Kaby Lake

Because of weak demand in the PC DIY market, Intel is considering bundling its Optane memory with motherboards using 200 series chipsets such as Z270, in the PC DIY channel to stimulate demand for the Kaby Lake platform. However, some retailers are pessimistic about the idea since the next-generation Coffee Lake is set to become available in late August and consumers are waiting for the new products.

from DIGITIMES: IT news from Asia http://ift.tt/2qz6vN1
via Yuichun

China market: Samsung launches Galaxy S8 as market share falls

Samsung Electronics will begin marketing its latest flagship Galaxy S8 devices in the China market following a product event held in Beijing on May 18. But the Korea-based vendor may soon realize it is now difficult to recapture market share from China's first-tier smartphone vendors, according to sources from Taiwan's handset supply chain.

from DIGITIMES: IT news from Asia http://ift.tt/2pNFXuC
via Yuichun

Taiwan market: Sony Mobile launches Xperia XZ Premium smartphone

Sony Mobile Communications has launched its latest flagship smartphone, the Xperia XZ Premium, in the Taiwan market, featuring a 5.5-inch 4K HDR display. It is the world's first smartphone with a 4K HDR screen, the vendor claimed.



from DIGITIMES: IT news from Asia http://ift.tt/2qyM9Uj
via Yuichun

Google TPU2 delivers 45Tflops

Google has produced a new version of its Tensor Flow Processor (TPU) aimed at machine learning in the Cloud. Google calls it TPU2 or Cloud TPU. A single TPU2 delivers 45Tflops. A system board with four TPU2s delivers 180Tflops and a customised network of 64 boards called a TPU pod delivers 11.5 petaflops. “Our second-generation ...

Read full article: Google TPU2 delivers 45Tflops



from News – Electronics Weekly http://ift.tt/2qu6EmF
via Yuichun

2017年5月17日 星期三

Imec chip composes music

Imec says it has made the world’s first self-learning neuromorphic chip with the ability to teach itself and compose music. Imec’s ultimate goal is to design the process technology and building blocks to make artificial intelligence to be so energy efficient that it can be integrated into sensors. Putting machine learning capability in sensors will ...

Read full article: Imec chip composes music



from News – Electronics Weekly http://ift.tt/2qz9nd2
via Yuichun

Intel's Flash Gambit Evolving Into 3-Pronged Strategy

Intel, which has been cautiously navigating the notoriously cyclical flash memory markets for years, is finally reaching a tipping point in its decade-long quest for a memory business restart.

from EETimes: http://ift.tt/2rhc1Xv
via Yuichun

Adata steps into home robot market

Memory module maker Adata Technology has stepped into the household robot market and unveiled two home robots on May 17. The company plans to take orders for its robotic products from August, with shipments slated for September.



from DIGITIMES: IT news from Asia http://ift.tt/2rtjPSx
via Yuichun

Taiwan market: Readmoo offers e-book reader mooInk

Readmoo, the largest traditional Chinese e-book platform and channel in Taiwan, has announced the cooperation with e-book reader ODM Netronix and e-paper maker E Ink Holdings to offer mooInk, the first e-book reader to support traditional Chinese digital reading content in the Taiwan market.

from DIGITIMES: IT news from Asia http://ift.tt/2qvh3Pj
via Yuichun

Capacity expansion puts pressure on polarizer pricing

Polarizer pricing is coming under downward pressure as a result of capacity expansion by makers, according to industry sources. Prices had been stable in 2017 untill the second quarter, and the pressure on pricing is expected to increase in second-half 2017.

from DIGITIMES: IT news from Asia http://ift.tt/2rtfpLo
via Yuichun

MediaTek reportedly to roll out 12nm P30 mobile SoC

MediaTek plans to roll out a new Helio P30 series later in 2017, according to Taiwan's media reports. The chips designed for mid-range smartphones will be built by Taiwan Semiconductor Manufacturing Company (TSMC) using 12nm process technology.

from DIGITIMES: IT news from Asia http://ift.tt/2quQt90
via Yuichun

eMemory announces security IP for IoT applications

As Physical Unclonable Functions (PUFs) have become an increasingly popular security technology, eMemory has announced the launch of NeoPUF, which the company claims is a game-changing IP innovation for IoT applications.

from DIGITIMES: IT news from Asia http://ift.tt/2rtoaFi
via Yuichun

Digitimes Research: Taiwan makers to ship 58.15 million large-size TFT-LCD panels in 2Q17

Taiwan-based TFT-LCD panel makers will together ship 58.15 million large-size (9-inch and above) panels during the second quarter of 2017, increasing 3.4% on quarter but decreasing 0.4% on year and taking up 34.9% of global total, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/2quZ5wg
via Yuichun

Qualcomm files breach of contract complaint against Apple manufacturers for non-payment of royalties

Qualcomm has filed a complaint in the US District Court for the Southern District of California against FIH Mobile and Hon Hai Precision Industry (together known as Foxconn), Pegatron, Wistron, and Compal Electronics, the four manufacturers of all Apple iPhones and iPads sold worldwide, for breaching their license agreements and other commitments with Qualcomm and refusing to pay for use of Qualcomm’s licensed technologies. Qualcomm seeks an order that would require the defendants to comply with their long-standing contractual obligations to Qualcomm, as well as declaratory relief and damages.

from DIGITIMES: IT news from Asia http://ift.tt/2rt8dPs
via Yuichun

Win Semi expands GaAs wafer manufacturing capacity by more than 20%

Win Semiconductors, the world’s largest pure-play compound semiconductor foundry, has completed phase 2 expansion at its newest wafer fab, Fab C. This operation is now fitted with state-of-the-art cleanroom, efficient process lines and advanced equipment for GaAs MMIC production, epitaxial growth of compound semiconductors, as well as fabrication and test of optical devices.



from DIGITIMES: IT news from Asia http://ift.tt/2quWHG0
via Yuichun

Sensor Sales Finally Catch Fire

Sales of semiconductor sensors and actuators hit all time highs last year as several years of price erosion abated, according to IC Insights.

from EETimes: http://ift.tt/2queSvo
via Yuichun

Android, Google Home Get AI Upgrades

Google will make machine learning central to all its products including upgrades of Android and Home it announced at its annual Google IO event.

from EETimes: http://ift.tt/2pVrfh9
via Yuichun

SPONSORED: Why Bluetooth Audio Matters for Wireless Applications?



from EETimes: http://ift.tt/2qxkeUG
via Yuichun

Record Wafer Shipments Continue

Shipments of silicon wafers defied typical first quarter seasonality to remain at record levels.

from EETimes: http://ift.tt/2pXlKxl
via Yuichun

Google Revs Faster TPU

Google announced a second-gen TPU packing twice the punch of the first, available as a service to run training and inference jobs for machine learning.

from EETimes: http://ift.tt/2rfFYXY
via Yuichun

Digi-Key signs Imec spin-off taking IoT design mainstream

IoT wireless sensing devices from VersaSense are now being stocked by Digi-Key. VersaSense’s system range called MicroPnP is a self-configuring hardware system used in the design of data acquisition and control systems for infrastructure monitoring, building energy management, and safety control systems. VersaSense is a spin-off of the imec-DistriNet research group at the University of ...

Read full article: Digi-Key signs Imec spin-off taking IoT design mainstream



from News – Electronics Weekly http://ift.tt/2rqRrkV
via Yuichun

Mouser adds high voltage mosfets from D3

Mouser is now stocking D3 Semiconductor’s full product line of +FET line of 650V-rated superjunction mosfets. D3 Semi has a product roadmap which includes devices combining mixed-signal functions with high-voltage switching devices. In addition to supporting power markets in the Americas and Europe, D3 Semi has a presence in Asia through its affiliate company, D3 ...

Read full article: Mouser adds high voltage mosfets from D3



from News – Electronics Weekly http://ift.tt/2pU3GFq
via Yuichun

PCIM (Power Conversion Intelligent Motion) news roundup

A roundup of all our latest news from PCIM Europe (Power Conversion and Intelligent Motion) running in Nuremberg.

Read full article: PCIM (Power Conversion Intelligent Motion) news roundup



from News – Electronics Weekly http://ift.tt/2qw6REg
via Yuichun

PCIM: Dynex names new CEO

Power semiconductor manufacturer Dynex Semiconductor has named a new CEO following the stepping down of long time head Dr. Paul Taylor in January. He has been replaced by Clive Vacher. Dr Taylor’s career represents the history of UK power electronics. It goes back to 1976 when he joined AEI Semiconductor, a business unit of GEC based in Lincoln, which ultimately ...

Read full article: PCIM: Dynex names new CEO



from News – Electronics Weekly http://ift.tt/2rpGpLC
via Yuichun

PCIM: UK-based Amantys develops next generation IGBT gate drive

UK power firm Amantys Power Electronics has developed its next generation IGBT gate drive technology which is being demonstrated this week at the PCIM exhibition in Nuremberg. Called NG Gate Drive, it has been designed to be compatible with IGBT modules known as LinPak, XHP, nHPD2 and SemiTrans20 that are available from several power semiconductor manufacturers. ...

Read full article: PCIM: UK-based Amantys develops next generation IGBT gate drive



from News – Electronics Weekly http://ift.tt/2rqqttI
via Yuichun

Capgemini survey measures value of Smart Factories

Capgemini has announced the findings of its Smart Factories report for 2017, carried out by its Digital Transformation Institute. According to the survey research, manufacturers expect their investments in smart factories will drive a 27% increase in manufacturing efficiency, over the next five years, which would add $500 billion to the global economy. Whether described ...

Read full article: Capgemini survey measures value of Smart Factories



from News – Electronics Weekly http://ift.tt/2pKz50Q
via Yuichun

PCIM: Infineon adds PFC boost stage to motor module

At the PCIM power electronics exhibition in Nuremberg this morning Infineon Technologies will be showing an intelligent power module (IPM) which has a single switch boost power factor correction (PFC) stage. The power module also integrates a 3 phase inverter in one package. It is designed to control induction motors and permanent magnet synchronous motors with single-phase PFC in ...

Read full article: PCIM: Infineon adds PFC boost stage to motor module



from News – Electronics Weekly http://ift.tt/2rpVU6k
via Yuichun

UK firm designs super sensors for world’s biggest telescope

UK-based image sensor firm Teledyne e2v has been awarded a multimillion euro contract by the European Southern Observatory (ESO) to design and produce 28 sensors for the world’s largest optical telescope – the ELT (Extremely Large Telescope), which is currently under construction on a mountain in Chile. The ELT will be fitted with 28 adaptive ...

Read full article: UK firm designs super sensors for world’s biggest telescope



from News – Electronics Weekly http://ift.tt/2rq5arR
via Yuichun

Samsung may invest $22bn in IC capex this yesr

Samsung will invest $21.95 billion in its chip business this year, according to the Korea Business Herald, quoting Shinhan Investment analyst Choi Do-Yoen. Samsung, which invested $4.5 billion in its semiconductor business in Q1, hasn’t said how much it will invest for the full year except to say it will be more than last year ...

Read full article: Samsung may invest $22bn in IC capex this yesr



from News – Electronics Weekly http://ift.tt/2pT2BgW
via Yuichun

Over 44 million LCD TVs shipped globally in 1Q17, says WitsView

Global LCD TV shipments reached 44.05 million units in first-quarter 2017, decreasing 31.0% sequentially and 8.4% on year, according to WitsView.

from DIGITIMES: IT news from Asia http://ift.tt/2pVwWuy
via Yuichun

Clevo ships 326,000 notebooks in 1Q17

Clevo shipped 326,000 notebooks in the first quarter of 2017, dipping 7.8% from 353,000 units a year ago, and the corresponding notebook sales revenues totaled NT$3.63 billion (US$119 million), up 6% due to a 23% hike in average selling price, according to the company.



from DIGITIMES: IT news from Asia http://ift.tt/2qqKRw7
via Yuichun

AMD ramping shipments of Ryzen 7, Ryzen 5 CPUs

Demand for AMD's Ryzen 7- and Ryzen 5-series CPU products has continued rising, which may allow the chipmaker to narrow its losses to below US$50 million for the second quarter of 2017. With Intel also paying licensing fees to AMD for its GPUs, some market watchers believe AMD may turn profitable in the second quarter or in the third.

from DIGITIMES: IT news from Asia http://ift.tt/2pVxdgQ
via Yuichun

Ardentec expanding automotive MCU testing business in Japan

Ardentec has expanded its automotive MCU testing business in Japan, which will further buoy its sales performance starting in the second quarter of 2017, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/2qqIIAN
via Yuichun

Sensor and actuator sales take off as price erosion eases

After several years of low and inconsistent growth rates primarily because of intense pricing pressure, the market for semiconductor sensors and actuators finally caught fire in 2016 with several of its largest product categories - acceleration/yaw and magnetic-field sensors and actuator devices - recording strong double-digit sales increases in the year, according to IC Insights.

from DIGITIMES: IT news from Asia http://ift.tt/2pVeTVq
via Yuichun

ASE, SPIL get US antitrust approval

Advanced Semiconductor Engineering (ASE) and Siliconware Precision Industries (SPIL) have received approval from US antitrust regulators for their proposed merger, according to the two companies.

from DIGITIMES: IT news from Asia http://ift.tt/2qqwOXf
via Yuichun

NB-IoT expected to catch up with LoRa in 2018, says SerComm CTO

While LoRa was the earliest technology to be applied to IoT (Internet of Things) devices, NB (narrow band)-IoT has seen fast growing application since 3GPP (3rd Generation Partnership Project) completed standardization of NB-IoT in June 2016 and is expected to catch up with LoRa in 2018, according to CTO Ben Lin for networking/communication device maker SerComm.

from DIGITIMES: IT news from Asia http://ift.tt/2pVoT0P
via Yuichun

Silicon wafer shipments hit record levels in 1Q17, says SEMI

Worldwide silicon wafer area shipments were at their highest recorded quarterly level in the first quarter of 2017, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

from DIGITIMES: IT news from Asia http://ift.tt/2qqwOqd
via Yuichun