2016年2月29日 星期一

Six Stats Driving Technical Marketing in 2016

Follow these six steps to change how you market products and services to engineers.

from EETimes: http://ift.tt/1oL2qTH
via Yuichun

Kimchuk Meets Military, Market Challenges With SMT Equipment

Tier 2 suppliers may be less well-known than Tier 1 suppliers and OEMs, but that doesn’t mean their role in the manufacturing chain is any less important.



from Electronics Assembly http://ift.tt/1oUKvdM
via Yuichun

Collaborative Robots Improve Capacitor Manufacturing

Throughout its 107-year history, Cornell Dubilier Electronics Inc. (CDE) has placed great importance on manufacturing quality power capacitors, which are passive electrical components that temporarily store electrical energy in an electric field.



from Electronics Assembly http://ift.tt/1RhvU2z
via Yuichun

Raspberry Pi Goes 64-bit

The Pi 3B is based on the Broadcom BCM2837 SoC, which includes a quad-core Cortex-A53 and is capable of 1.2GHz clock frequency. Cortex-A53 comply with the ARMv8A instruction set architecture and support both 64- and 32-bit addressing as well as providing backwards compatibility with the ARMv7 instruction set architecture.

from EETimes: http://ift.tt/1RBAqLV
via Yuichun

Resource-rich MCU targets wearable IoT

Resource-rich MCUs for wearables may seem like a contradiction, but ARM aims to provide that with its Cortex-A32 processor.

from EETimes: http://ift.tt/1OJAvsi
via Yuichun

Better Acoustics: Smartphones' Next Frontier

Vendors gunning for MEMS microphone featuring better acoustics include Infineon, who provides its MEMS silicon to Hong Kong-based AAC, and a startup named Vesper, developer of the world's first piezoelectric-based MEMS microphones. Vesper announced a partnership with AAC.

from EETimes: http://ift.tt/1oTSzeU
via Yuichun

EEVblog #856 – Mailbag

More Mailbag Monday
Forum HERE

SPOILERS:
Qiktag Indiegogo
Apple iMac Power Supply
Victorinox Minichamp Swiss Army Knife
Caran d’Ache pen
Kübrich Ingenieure Hose Clamp aren’t the Dodgy Brothers
HF Splitter Teardown from Bitshift Dynamics
The finest Audiophoolery from Nordost, the $50,000 Odin reference speaker cable
And a package for Sagan



from EEVblog http://ift.tt/1pm2I42
via Yuichun

Today, February 29, is a Free Day

You get an extra day for your mortgage or rent payments, but you also work for free if you get paid monthly.

from EETimes: http://ift.tt/24xeUin
via Yuichun

ThreadX to support ARMv8-M–based Cortex-M processors with TrustZone

Express Logic has introduced a version of its X-Ware Secure Platform for ARM Cortex-M processors. The platform incorporates the firm’s ThreadX, NetX, USBX, FileX, GUIX, and TraceX for use with future ARMv8-M–based Cortex-M processors with TrustZone and with existing ARMv6-M– and ARMv7-M–based Cortex-M processors with MPU support. The tools can be used for software partitioning ...

ThreadX to support ARMv8-M–based Cortex-M processors with TrustZone



from ElectronicsWeekly » News http://ift.tt/1RAhddt
via Yuichun

Rohde & Schwarz scope displays the time/frequency correlations

Rohde & Schwarz’s RTO2000 multi-domain oscilloscope can be used to display the correlations between time, frequency, protocol and logic analysis measurement results. Using the scope’s analogue input channels, it is possible to simultaneously observe the signal in the time and frequency domain, and if desired, the spectrogram. New functions include peak list, max. hold detectors and a ...

Rohde & Schwarz scope displays the time/frequency correlations



from ElectronicsWeekly » News http://ift.tt/21wiMRO
via Yuichun

Singtel, Ericsson to Test 5G Mid-2016

Low-powered IoT devices bring Singtel a step closer to its 5G goals, with new device and sensor technologies leveraging network connectivity making vehicle-to-infrastructure connectivity possible.

from EETimes: http://ift.tt/1ScxM1o
via Yuichun

Robotics Space Gains from Robust Manufacturing Spending

IDC predicted that global spending on robotics and related services will grow at a CAGR of 17 per cent from more than $71 billion in 2015 to $135.4 billion in 2019.

from EETimes: http://ift.tt/1RA1CdY
via Yuichun

FTDI Chip simplifies USB technology

Aiming to make USB technology as straightforward to use as possible, FTDI Chip has introduced the FT260 –  a human interface device (HID) class interface controller IC, which complements the company’s vendor class portfolio. It can provide USB 2.0 Full Speed (12Mbps) connectivity to a broad range of applicatioms – including connection of touchscreens, computer peripherals and IoT sensing apparatus, ...

FTDI Chip simplifies USB technology



from ElectronicsWeekly » News http://ift.tt/1RzXJG2
via Yuichun

Startup, with Mixed-Signal ASICs, to Boost 4G Capacity

Blue Danube Systems, a startup with a unique 3D beamforming based on its own mixed-signal ASICs, is pitching high-definition antenna systems that increase by tenfold the average LTE spectrum efficiency of a typical base station.

from EETimes: http://ift.tt/1XV2bSh
via Yuichun

Design house builds on ‘Tomorrow’s Train’ success

Cambridgeshire-based design house 42 Technology  is highlighting the importance of product development in the UK for the transport and infrastructure sector. The consultancy is creating a new design activity in areas such as: vehicle tracking and real-time sensing, logging and communication; electric vehicle power electronics and charging systems; gas vehicle fuelling and operation detection systems; ...

Design house builds on ‘Tomorrow’s Train’ success



from ElectronicsWeekly » News http://ift.tt/1OHEeGX
via Yuichun

Raspberry Pi goes 64bit and quad core

Raspberry Pi, element14 and RS Components have announced Raspberry Pi 3, based around a quad core 64bit processor. “Four years ago today, we launched the first Raspberry Pi with our friends at Premier Farnell. Today we’re launching Raspberry Pi 3: it’s still $35 and it’s still the size of your credit card, but now it comes ...

Raspberry Pi goes 64bit and quad core



from ElectronicsWeekly » News http://ift.tt/1Rzn16Z
via Yuichun

Pi goes 64-bit

Raspberry Pi 3, which goes on sale today, has an 64-bit processor and integrated WiFI and Bluetooth. It costs $35. It uses a 1.2GHz 64-bit quad-core ARM Cortex-A53 CPU delivering around 10x the performance of Raspberry Pi 1. The Foundation will continue to make Pi 1 or 2 for as long as there’s demand. Raspberry ...

Pi goes 64-bit



from ElectronicsWeekly » News http://ift.tt/1OHkYcB
via Yuichun

LTC output step-down µModule regulator

Linear Technology has introduced a dual 25A or single 50A output step-down µModule regulator with onboard shielded inductors, MOSFETs and a dual DC/DC regulator IC housed in a small thermally enhanced plastic package. The device, LTM4650, is offered in a 16mm x 16mm x 5.01mm BGA with a patented built-in heat sink. The heat sink, ...

LTC output step-down µModule regulator



from ElectronicsWeekly » News http://ift.tt/215zKku
via Yuichun

2016年2月28日 星期日

7 Ambitous Automotive-Themed DIY Projects

Car tinkers come up with some clever DIY bonus features for their four-wheeled friends.

from EETimes: http://ift.tt/21Bv8oj
via Yuichun

2016年2月27日 星期六

Atlas Robot Wows

Boston Dynamics (acquired by Google in 2013) is

from EETimes: http://ift.tt/1oOPdK5
via Yuichun

2016年2月26日 星期五

IoT Security, Power Consumption in Benchmark Group's Sights

The Embedded Microprocessor Benchmark Consortium (EEMBC) trade group plans to embrace the Internet of Things with two forthcoming benchmarking exercises addressing edge-node IoT energy consumption and IoT security.

from EETimes: http://ift.tt/1OBOFvv
via Yuichun

Security Expert Discloses Security Flaw in Nissan Vehicles

A new case of vulnerability against hacking attacks startles users of connected cars: The NissanConnect EV interface designed to remotely read out condition data and control systems like air condition in Nissan models can be easily accessed and abused by unauthorized persons. But wait, there's more...

from EETimes: http://ift.tt/1oFfyJL
via Yuichun

Keysight and Spreadtrum to Collaborate on Mobile Chipset Technology

Keysight Technologies and Spreadtrum Communications have signed an understanding (MoU) to collaborate on the research and development of mobile chipset advanced technology, including the development of new testing needs, covering mobile chipset baseband, RF module and conformance test.

from EETimes: http://ift.tt/1n2wdpI
via Yuichun

Chinese Conglomerate Pulls Out of WD Investment

Unisplendour, the Hong Kong-based subsidiary of Chinese technology conglomerate Tsinghua Unigroup, opts not to invest nearly US$3.8 billion in Western Digital for a 15% stake in the company.

from EETimes: http://ift.tt/1QCphHo
via Yuichun

February's Top Medtech Megamergers

This month saw a slew of medical device M&A deals, though they were mostly only a "few" billion dollars a piece.

from EETimes: http://ift.tt/1LjoS0l
via Yuichun

Cisco Explains 5G Auto Potential

If the Mobile World Congress this week is any indication, the discussion of 5G's physical connectivity, while still important, has decidedly begun to shift to such topics as 5G network slicing and network virtualization.

from EETimes: http://ift.tt/1Qm46di
via Yuichun

Son of Gerber-Format Inventor to Tell Dad's Story, ESC Boston 2016

Gerber's life is an immigrant "rags-to-riches" story involving a Holocaust survivor who ended up pioneering important developments in engineering, electronics, printing, apparel, aerospace, and numerous other areas.

from EETimes: http://ift.tt/1n2bylH
via Yuichun

Surrey University looks for graphene partners

Surrey University and BAe Systems have developed ultra-thin, patterned graphene sheets for infrared imaging in opto-MEMs devices which could also be used for energy-scavenging wall-paper, for powering sensors and for low-light solar cells. The research shows how graphene can be manipulated to create the most light-absorbent material for its weight made to date and useful for capturing light ...

Surrey University looks for graphene partners



from ElectronicsWeekly » News http://ift.tt/1T1oXIJ
via Yuichun

Max's DNA Results Are In -- It's Worse Than We Feared!

According to the ethnicity estimate from Ancestry.com, Max's DNA shows him to be...

from EETimes: http://ift.tt/1OB0q5p
via Yuichun

Robot librarian to stalk Aberystwyth University

Hugh is a concept artificial librarian for Aberystwyth University library, the brain-child of robotics students Pasi Sachiti and Ariel Ladegaard. Designed to take verbal book requests, Hugh will be able to tell where a book is kept and show the student to the relevant book shelf. “Combining existing robot technology with information from PRIMO, the ...

Robot librarian to stalk Aberystwyth University



from ElectronicsWeekly » News http://ift.tt/1Q8f3RY
via Yuichun

IoT consortium adopts M2 format for IoT sensor nodes

Advantech, ARM, Bosch Sensortec, Sensirion, and Texas Instruments are to co-operate on a physically interchangeable standard for wireless IoT sensor nodes and have started an industry body. Based on the 22x30mm M.2 (also known as NGFF – next-generation form-factor) physical shape, developed for computer expansion boards, it is to be know as M2.COM and will ...

IoT consortium adopts M2 format for IoT sensor nodes



from ElectronicsWeekly » News http://ift.tt/1Rt1g8M
via Yuichun

Picture Gallery: Mobile World Congress 2016

The annual Mobile World Congress highlights the latest trends in mobile technology and services, and it ran from 22nd – 25th February in Barcelona, this year. The congress brings together mobile operators andequipment vendors as well as industry figures, and Electronics Weekly editor Richard Wilson was our man on the spot. Here’s a visual flavour of the Mobile event: Mobile ...

Picture Gallery: Mobile World Congress 2016



from ElectronicsWeekly » News http://ift.tt/1Uoy19W
via Yuichun

ARM says 64-bit is key to low power and IoT security

ARM is putting the squeeze on Intel and all the other embedded processor suppliers. The Cambridge-based processor firm has gone one better than its frugal Cortex- A7 low power core with its latest processor, the Cortex-A32. ARM has recognised that a 64-bit processor architecture can be more power efficient than a 32-bit architecture.  In this ...

ARM says 64-bit is key to low power and IoT security



from ElectronicsWeekly » News http://ift.tt/24sTW4o
via Yuichun

Ethernet Picks up the Pace

The industry is counting on getting new Ethernet solutions at a faster rate, according to the chairman of the Ethernet Alliance who reviews what's in the pipeline.

from EETimes: http://ift.tt/1TIhDSi
via Yuichun

Rutronik signs Intel processors in IoT market push

Rutronik is the latest European distributor to sign the Intel range of microprocessors and memory for the industrial market. This will include Quark, Atom and Core processors, mainboards, solid state drives and software, but not the former Altera FPGAs. “We look forward to our partnership especially given that our strategy that we are pursuing with Smart ...

Rutronik signs Intel processors in IoT market push



from ElectronicsWeekly » News http://ift.tt/21wtKDp
via Yuichun

Imec shows directed self-assembly-formed vias

Imec is getting closer to implementing  DSA (directed self-assembly) for via patterning at the N7 technology node and beyond. DSA processes with cylinder-forming block copolymers (BCP) have gained attention for contact hole shrink applications with improved contact hole roughness, and for their potential to increase the contact hole density that is obtained with optical lithography. ...

Imec shows directed self-assembly-formed vias



from ElectronicsWeekly » News http://ift.tt/1TI3G6S
via Yuichun

Hot and 'Connected' at Mobile World Congress

As we roamed the Mobile World Congress this week, we spotted a few things that the future is going to be connected, to you, your car, your bike, your house, your kids, your eyes and ears and even your teddy bear.

from EETimes: http://ift.tt/1OzwbvI
via Yuichun

Delta Electronics supplies automatic sun tracking solution to US-based SolarReserve

Delta Electronics has supplied an in-house-developed automatic sun tracking solution to SolarReserve, a US-based solar power generation developer, for its Crescent Dunes Solar Energy Project, a concentrated solar power plant with generating capacity of 110MW in Nevada, according to Delta Electronics.

from DIGITIMES: IT news from Asia http://ift.tt/1QJPYQG
via Yuichun

Advantech sees revenue growth in 2015

Industrial PC (IPC) maker Advantech has announced consolidated revenues of NT$38 billion (US$1.14 billion) for 2015, up 6.35% on year, gross margins of 40.4%, higher than the 40.3% of 2014, net profits of NT$5.13 billion, up 3.95% on year and EPS of NT$8.08.

from DIGITIMES: IT news from Asia http://ift.tt/1QjtY9V
via Yuichun

Baidu aims at 1Q16 consolidated revenues of CNY15.140-15.970 billion

Baidu, the largest China-based search engine, is targeting consolidated revenues of CNY15.140-15.970 billion (US$2.32-2.45 billion) for the first quarter of 2016, according to a financial report released by the company on February 25.

from DIGITIMES: IT news from Asia http://ift.tt/1QJPXMK
via Yuichun

Digitimes Research: Taiwan sees decreased handset shipments in 4Q15

Total handset shipments by Taiwan-based brand vendors and ODMs reached 17.94 million units in the fourth quarter of 2015, decreasing 5.7% on quarter and 29% on year, according to data compiled by Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/1QjtVux
via Yuichun

Qualcomm announces Snapdragon 820 processor powers Samsung Galaxy S7 and S7 edge

Samsung Electronics' recently-announced Galaxy S7 and S7 edge feature the Qualcomm Snapdragon 820 processor with integrated Snapdragon X12 LTE modem for up to 33% faster 4G+ LTE download speeds and up to 200% faster LTE upload speeds compared to the previous generation, according to Qualcomm.

from DIGITIMES: IT news from Asia http://ift.tt/1QJPXMy
via Yuichun

Taiwan PCB output value increases 1.8% in 2015

The production value of PCBs produced by Taiwan-based companies in Taiwan and China rose 1.79% on year to NT$573.2 billion (US$17.3 billion) in 2015, according to the Industrial Economics and Knowledge Center (IEK) of Taiwan's Industrial Technology Research Institute (ITRI).

from DIGITIMES: IT news from Asia http://ift.tt/1QjtY9K
via Yuichun

2016年2月25日 星期四

20 Tech-Based Playthings that Took Toy Fair 2016 By Storm

The North American Toy Fair was abuzz with new toys that teach engineering and electronics or incorporate the latest technology. Take a look at some of the newest innovations.

from EETimes: http://ift.tt/1Ozji4G
via Yuichun

NASA Engineer Honored With Washington Award

Chicagoland engineers will present the Washington Award to aerospace engineer Dr. Aprille Ericsson. Ericsson has made significant contributions to the aerospace field and was also the first female, and the first African-American female, to receive a Ph.D. in mechanical engineering from Howard University and the first black female to receive a Ph.D. in engineering at the NASA Goddard Space Flight Center.

from EETimes: http://ift.tt/1Qhsq3q
via Yuichun

EEVblog #855 – Ceramic Capacitor Piezoelectric Effect

Dave investigates the piezoelectric effect in multi layer chip capacitors (MLCC’s). In this case, on the backlight inverter on his own BM235 multimeter.
Forum HERE
LCD Driver DATASHEET
Capacitor Tutorial VIDEO



from EEVblog http://ift.tt/1QJwxrh
via Yuichun

Friday Quiz: Linear Motion Sensors

Sensing mechanical motion is ultimately an electronic measurement.

from EETimes: http://ift.tt/21loy8H
via Yuichun

How We Got Here: Advice From Women Engineers

Being a woman in the engineering field can be isolating when you rarely meet other women in technical positions. In celebration of the 65th annual Engineers Week, TE Connectivity hosted a webcast to discuss the roles of women in engineering and give advice.

from EETimes: http://ift.tt/1RrKmrm
via Yuichun

Demand for heat-pipes for smartphones may emerge

Samsung Electronics has adopted heat-pipes for its Galaxy S7-series, and this is expected to become a trend in the smartphone industry bringing business opportunities for heat-pipe makers. However, related production equipment suppliers pointed out that the makers have not added molds specifically for producing smartphone-use heat-pipes signaling demand has not yet grown to a sufficient level.

from DIGITIMES: IT news from Asia http://ift.tt/1LIW5gE
via Yuichun

Samsung intros 256GB UFS storage for mobile devices

Samsung Electronics has announced it is now mass producing 256GB embedded memory based on the Universal Flash Storage (UFS) 2.0 standard, for next-generation high-end mobile devices. The newly introduced embedded memory features performance for mobile devices that exceeds that of a typical SATA-based SSD for PCs, claimed the company.

from DIGITIMES: IT news from Asia http://ift.tt/1paLqqq
via Yuichun

Taiwan January unemployment rate up on year, says DGBAS

Taiwan had 453,000 jobless citizens in January 2016, equivalent to an unemployment rate of 3.87% which remained unchanged on month but rose 0.16pp on year, according to statistics released by the Directorate-General of Budget, Accounting and Statistics (DGBAS) on February 25.



from DIGITIMES: IT news from Asia http://ift.tt/1LIW7Fc
via Yuichun

China market: Xiaomi launches Mi 5 and Mi 4S

Xiaomi Technology has unveiled two smartphones, the Xiaomi Mi 5 and Mi 4S, in Beijing as its latest efforts to fend off increasing competition from local rivals, such as Huawei, Coolpad and LeTV.

from DIGITIMES: IT news from Asia http://ift.tt/1paLqqk
via Yuichun

Lextar secures 3rd-party certification for high-power LED devices for car headlights

Lextar Electronics has obtained third-party certification based on AEC (Automotive Electronics Council) Q101 standards for its high-power LED devices for automotive headlights from Dekra iST Reliability Services, opening up opportunities for it to land OEM orders from automobile makers, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1LIW7oW
via Yuichun

E Ink Holdings developing 40- to 50-inch e-paper

E Ink Holdings (EIH) has offered e-paper displays up to 32-inch and is developing 40- to 50-inch models, with production expected to begin in 2016, according to company chairman Frank Ko.

from DIGITIMES: IT news from Asia http://ift.tt/1paLnuL
via Yuichun

Taiflex 2015 EPS hits 3-year low

Taiwan-based Taiflex Scientific, a supplier of flexible copper clad laminates (FCCL) and PV backsheets, has reported a net EPS of NT$3.61 (NT$0.11) for 2015 hitting the lowest level in three years.

from DIGITIMES: IT news from Asia http://ift.tt/1LIW5gA
via Yuichun

China market: TCL launches VR-enabled smartphone, 2-in-1 tablet

TCL has unveiled two smartphones under its idol 4-family, as well as a 2-in-1 tablet, the Plus 10, under the Alcatel brand, to enhance its competitiveness in the smartphone and tablet segments.



from DIGITIMES: IT news from Asia http://ift.tt/1paLnuD
via Yuichun

EDA, IC design service companies positive about 1Q16

EDA and IC design service companies have been approached by many of their customers for design services despite seasonality, and have expressed optimism about their performance in the first half of 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1LIW5gy
via Yuichun

TV, STB chip order visibility extends through 2Q16

Taiwan-based IC design houses have landed an influx of short lead-time orders for TVs, set-top boxes (STB), as well as over-the-top (OTT) devices and peripherals, with order visibility extended through the second quarter of 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1paLpTh
via Yuichun

Searching Images Reimagined

Image search engine expert begins producing vertical apps for iTunes store, such as identifying different flower types, clothing styles, suspicious people and more using the same core image search engine.

from EETimes: http://ift.tt/1KOQ3QT
via Yuichun

Samsung Doubles UFS Capacity, Performance

Latest flash memory builds on first generation memory announced a year ago to meet high-end smartphone demands for video

from EETimes: http://ift.tt/24s59C5
via Yuichun

Black Engineers Call for Diversity

February is a big month for the National Society of Black Engineers (NBSE), a 41-year-old professional organization dedicated to increasing the number of black and African-identified engineers. Against a backdrop of a national conversation about racial equality, NBSE will celebrate both Engineers Week and Black History Month.

from EETimes: http://ift.tt/1QhWzwi
via Yuichun

The Great Capacitor Plague of the Early 21st Century

Humongous numbers of capacitors manufactured between 1999 and 2003 started to fail in systems around 2002 to 2005...

from EETimes: http://ift.tt/1OycYun
via Yuichun

Apple, FBI Talks Need Engineers

A panel of experts need to study and report to the public on the legal and technical alternatives in security and privacy, and engineers need a seat at that table.

from EETimes: http://ift.tt/1LIixGN
via Yuichun

STEM Gets Steamy

There's a move to add the Arts (A) to the existing Science, Technology, Engineering, and Math domains, thereby evolving STEM into STEAM.

from EETimes: http://ift.tt/1p9oNTi
via Yuichun

Fine Pitch Right Angle & Horizontal SMT Spring-Loaded Connectors

Mill-Max introduces .050” pitch spring-loaded RA and HSMT connectors which offer space-saving spring-loaded solutions for a variety of interconnect applications.

read more



from Components http://ift.tt/1WLZ4uy
via Yuichun

Operation Dust Storm Hackers Set Sights On Japan's Critical Infrastructure

Japanese energy, oil/gas, and transportation industries the target of stealthy, patient cyber-espionage group.

from EETimes: http://ift.tt/1WLNijL
via Yuichun

Is Arduino's random() Function Truly Random?

Wouldn't we feel silly if we created a sophisticated effect based on random numbers, only to discover that our numbers aren't as random as one might hope?

from EETimes: http://ift.tt/1RqkQ5R
via Yuichun

The Great Capacitor Plague of the Early 21st Century

Humongous numbers of capacitors manufactured between 1999 and 2003 started to fail in systems around 2002 to 2005...

from EETimes: http://ift.tt/24rwlkD
via Yuichun

Tool tests JTAG coverage for PCB designers

Boundary scan chain integrity can be checked automatically on Altium’s Designer schematic capture and PCB layout software, using an extension from Cambridge-based XJTAG. Called XJTAG DFT Assistant, and downloadable for free, it “provides engineers with an extension to check if boundary scan chains are correctly connected and terminated at the schematic capture stage, long before ...

Tool tests JTAG coverage for PCB designers



from ElectronicsWeekly » News http://ift.tt/21jMUQa
via Yuichun

The Future of Emulation on Display

Marrying high-performance hardware with "smart" applications will make emulators workhorses for addressing complex system-level design verification challenges.

from EETimes: http://ift.tt/1XOTuZA
via Yuichun

Stryker-Zimmer Case Has Supremes Eying Stiffer Patent Penalties

Several U.S. Supreme Court justices suggested a willingness to give lower courts more leeway to award enhanced penalties during arguments related to a Stryker patent case against Zimmer Biomet.

from EETimes: http://ift.tt/1QgnDvH
via Yuichun

Leaky Apps Far Riskier Than Mobile Malware

Even top enterprise apps are rampant with data leakage and privacy-invasive behavior.

from EETimes: http://ift.tt/1XOHLdD
via Yuichun

My First Skirmish with Basic for Android

My first impressions of Basic for Android, a development environment for Android apps.

from EETimes: http://ift.tt/21joEO6
via Yuichun

EUV 2.0 Decision Needed

It's time to make a decision on the approach for next-gen EUV lithography, said the CTO of Toppan Photomasks after winning a lifetime achievement award.

from EETimes: http://ift.tt/1T9nkJg
via Yuichun

4th ICE Age Upon Us

Mentor Graphics is claiming that it has entered the 4th ICE Age with its accelerated, deterministic in-circuit-emulation apps like accurate design-for-test.

from EETimes: http://ift.tt/1WL53Qm
via Yuichun

Qualcomm CTO on Network Slicing, 5G for 'Services'

Matt Grob, Qualcomm CTO, talks to EE Times on 5G Network Slicing technology, his pre-5G concerns and lessons learned from 4G.

from EETimes: http://ift.tt/1KNseJa
via Yuichun

Harvesting chip runs from 80mV

Analogue devices has introduced energy harvesting chips for thermoelectric and photovoltaic sources. Called ADP5091 and ADP5092, they are based on the similar ADP5090, released over a year go. “We have optimised what we had, improved the start-up circuit, and added an adjustable voltage regulator”, company technical director Uwe Brockelmann told Electronics Weekly at Embedded World in Nurnberg. ...

Harvesting chip runs from 80mV



from ElectronicsWeekly » News http://ift.tt/21jak8n
via Yuichun

MWC: NI shows testbed for LTE in unlicensed spectrum

NI has come up with an embedded design platform for prototyping LTE network nodes which will use the unlicensed frequency at 5.9GHz. Called LTE-U, this is being considered as a way of finding more radio channel capacity to meet spiraling mobile data demand. The design test bed was demonstrated at Mobile World Congress this week, running LabView ...

MWC: NI shows testbed for LTE in unlicensed spectrum



from ElectronicsWeekly » News http://ift.tt/1R3RpDJ
via Yuichun

EW: Raspberry Pi IoT starter kit embraces EnOcean and IBM

Farnell element14 has launched two IoT starter kits, at Embedded World 2016, featuring energy harvesting wireless sensors from EnOcean, one of them based around the Raspberry Pi micro-computer board. There are Entrepreneur and Enterprise editions for the kits which are described as “flexible and cost-effective gateways to developing intelligent building products for hospitals, homes, airports ...

EW: Raspberry Pi IoT starter kit embraces EnOcean and IBM



from ElectronicsWeekly » News http://ift.tt/20WDzZ2
via Yuichun

Digitimes Research: NTT DoCoMo looking to cross into smart family market in Japan, selling fixed and mobile networks together

Japan-based telecom carrier NTT DoCoMo began establishing Uchisuma (smart family) experience zone in its stores and distributors' stores on October 16, 2015, looking to help consumers see the convenience brought by high speed Internet combined with network-supported home appliances. The telecom carrier is pushing cheap prices for the combination of fixed and mobile networks to attract demand from home users, and such a demand is growing to become a potential business opportunity, Digitimes Research's latest report about Japan's smart family market shows.

from DIGITIMES: IT news from Asia http://ift.tt/1QzzZOW
via Yuichun

Taiwan market: Advantech cooperates with Microsoft to market cloud computing solutions

Industrial computing device maker Advantech, in view of large market potential for IoT (Internet of Things) applications, has cooperated with Microsoft to provide cloud computing-based solutions and value-added services in the Taiwan market, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1p77eTO
via Yuichun

Worldwide wearable market sees rapid growth in 2015, says IDC

The worldwide wearable device market took a big step forward in the fourth quarter of 2015, fueled by the growing popularity of fitness trackers and the Apple Watch. According to the IDC Worldwide Quarterly Wearable Device Tracker, vendors shipped a total of 27.4 million units during the holiday quarter, besting the levels of the fourth quarter of 2014 by 126.9%. For the full year, vendors shipped a total of 78.1 million units, up a strong 171.6% over 2014.

from DIGITIMES: IT news from Asia http://ift.tt/1QzzXGI
via Yuichun

Taiwan FTC extends review period on ASE-SPIL takeover bid

Taiwan's Fair Trade Commission (FTC) has extended its review period on a proposal submitted by Advanced Semiconductor Engineering (ASE) to acquire a majority stake in Siliconware Precision Industries (SPIL), according to a statement issued by the authority on February 24.



from DIGITIMES: IT news from Asia http://ift.tt/1p77h1X
via Yuichun

China, Taiwan IC firms ramp 16nm chip orders at TSMC

China- and Taiwan-based IC design houses including MediaTek, HiSilicon Technologies and Spreadtrum Communications have placed 16nm chip orders with Taiwan Semiconductor Manufacturing Company (TSMC) and begun to step up their pace of orders, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1QzzXGE
via Yuichun

SPIL to deal out cash dividend per share of NT$3.80 for 2015

IC packager Siliconware Precision Industries (SPIL) has decided to distribute a cash dividend per share of NT$3.80 (US$0.11) for 2015, according to a resolution passed by the company's board of directors.

from DIGITIMES: IT news from Asia http://ift.tt/1p77h1S
via Yuichun

Worldwide spending on robotics to reach US$135 billion in 2019, says IDC

In the new Worldwide Commercial Robotics Spending Guide, IDC forecasts global spending on robotics and related services to grow at a compound annual growth rate (CAGR) of 17% from more than US$71 billion in 2015 to US$135.4 billion in 2019. The new spending guide measures purchases of robotic systems, system hardware, software, robotics-related services, and after-market robotics hardware on a regional level across thirteen key industries and fifty-two use cases.

from DIGITIMES: IT news from Asia http://ift.tt/1QzzZOQ
via Yuichun

Taiwan January export order value down, says MOEA

Taiwan received export orders totaling US$34.19 billion in January 2015, decreasing 11.9% on month and 12.4% on year, according to the Ministry of Economic Affairs (MOEA).

from DIGITIMES: IT news from Asia http://ift.tt/1p77eDd
via Yuichun

Jinneng, SunEdison cooperate in R&D of N-type monocrystalline HIT silicon solar cells

China-based Jinneng Group has formed a strategic partnership with US-based renewable energy development firm SunEdison for R&D of N-type monocrystalline HIT (heterojunction with intrinsic thin layer) silicon solar cells, according to Jinneng.



from DIGITIMES: IT news from Asia http://ift.tt/1QzzXqm
via Yuichun

Trina Solar takes over plant from Solland Solar Cells

China-based PV module maker Trina Solar has signed with Netherlands-based Solland Solar Cells BV for its Netherlands-based subsidiary Trina Solar Netherlands to acquire the latter's solar cell factory in Heerlen, southern Netherlands, according to Trina Solar.

from DIGITIMES: IT news from Asia http://ift.tt/1p77emR
via Yuichun

2016年2月24日 星期三

Sharp accepts bailout proposed by Foxconn, says Nikkei

Sharp's board of directors has accepted a JPY700 billion (US$5.81 billion) bailout plan proposed by Taiwan-based EMS provider Hon Hai Precision Industry (Foxconn Electronics), according to Japan-based Nikkei News.

from DIGITIMES: IT news from Asia http://ift.tt/1WKaiQ9
via Yuichun

Taiwan science parks post 2015 total revenues of over NT$2.3 trillion, says MOST

Government-run Hsinchu Science Park (HSP), Southern Taiwan Science Park (STSP) and Central Taiwan Science Park (CTSP) generated combined revenues of NT$2.308 trillion (US$70.27 billion) in 2015, dropping 0.70% on year, according to the Ministry of Science and Technology (MOST).

from DIGITIMES: IT news from Asia http://ift.tt/1S2BF99
via Yuichun

AcBel Polytech 2015 dividend 16.28% in excess of net EPS

Power supply maker AcBel Polytech has decided to distribute a cash dividend per share of NT$1.50 (US$0.0448) for 2015 business operations, 16.28% in excess of the corresponding net EPS of NT$1.29.

from DIGITIMES: IT news from Asia http://ift.tt/1WKaizT
via Yuichun

North American semiconductor equipment industry posts January 2016 book-to-bill ratio of 1.08, says SEMI

North America-based manufacturers of semiconductor equipment posted US$1.32 billion in orders worldwide in January 2016 (three-month average basis) and a book-to-bill ratio of 1.08, according to SEMI. A book-to-bill of 1.08 means that US$108 worth of orders were received for every US$100 of product billed for the month.

from DIGITIMES: IT news from Asia http://ift.tt/1S2BF97
via Yuichun

Test solution provider Chrome Ate posts decreased earnings for 4Q15

Automated test and measurement solution provider Chroma Ate saw its net profits decline 48% on quarter and 22% on year to NT$250 million (US$7.51 million) in the fourth quarter of 2015. The earnings translated into an EPS of NT$0.66 for the three-month period.

from DIGITIMES: IT news from Asia http://ift.tt/1WKahvJ
via Yuichun

PCB maker Tripod sees earnings up 10% on year in 4Q15

PCB maker Tripod Technology saw its net profits decline 13.12% on quarter but up 9.88% on year to NT$824 million (US$24.76 million) in the fourth quarter of 2015. The earnings translated into an EPS of NT$1.57 for the quarter.

from DIGITIMES: IT news from Asia http://ift.tt/1S2BElo
via Yuichun

Graphene-on-Glass Makes Doped Transistor

Scientists from across New York State have developed a method for creating graphene layers on common glass with advantageous doping.

from EETimes: http://ift.tt/1R2wG3d
via Yuichun

First "PCB of the Month" Project is Poised to Roll

This project is based on the LM331 voltage-to-frequency converter chip that was designed by the late, great Bob Pease.

from EETimes: http://ift.tt/20VSfYo
via Yuichun

Latest Atlas Humanoid Robot is Amazingly (Frighteningly) Lifelike

Still don't believe in the robot apocalypse? We'll see who is laughing when you hear the metallic stomping approaching outside your office door.

from EETimes: http://ift.tt/1TzGTc8
via Yuichun

Xiaomi Mi 5 Smartphone Targets iPhone 6s, Galaxy S7

Chinese phone maker Xiaomi made a big splash in Barcelona Feb. 24 with the Mi 5, a metal-and-glass handset that costs half as much as the competition. The company is targeting Apple's iPhone and Samsung's Galaxy lineup with this low-cost smartphone.

from EETimes: http://ift.tt/1Q133BD
via Yuichun

The IoT Library: Cutting the Cord & 'Going Totally Wireless'

Look no further than this week's Mobile World Congress for working examples of this next-wave wireless design trend and its inevitable outcome.

from EETimes: http://ift.tt/24pvbpv
via Yuichun

Nokia's RAN Catches Air At MWC

Nokia announced the next generation of its AirScale Radio Access technology with cloud-based Radio Access Network designed for open interfaces. The company demonstrated its technology at Mobile World Congress in Barcelona.

from EETimes: http://ift.tt/1XMBAqp
via Yuichun

SEMI records declining book-to-bill ratio for January

The January SEMI book-to-bill ratio was 1.08. January bookings were $1.32 billion – 1.4% lower than December’s 2015 $1.34 billion, and is 0.1% lower than the January 2015 order level of $1.33 billion. January billings were $1.23 billion – 8.8% lower than December’s $1.35 billion, and is 3.7% lower than the January 2015 billings level ...

SEMI records declining book-to-bill ratio for January



from ElectronicsWeekly » News http://ift.tt/1XMpL3p
via Yuichun

EUV, Big Molecules and Moore

The recent SPIE event provided insights on the progress on extreme ultraviolet lithography to continue Moore's law and the threat of molecular level hurdles that could end it.

from EETimes: http://ift.tt/1p3VOAi
via Yuichun

Wearables Don IEEE Standard

A new IEEE standards effort aims to enable immersive shopping and customized wearables tied to the Internet of Things.

from EETimes: http://ift.tt/1WIwTww
via Yuichun

MWC: EU wants to co-ordinate global plans for 5G spectrum

Plans for a global roll-out of 5G mobile by 2020 are starting to take shape. This week at Mobile World Congress the European Union (EU) and Brazil signed an agreement to develop 5G, the next generation of communication networks. This agreement follows similar cooperation initiatives with South Korea, Japan and China and EU officials said that agreements ...

MWC: EU wants to co-ordinate global plans for 5G spectrum



from ElectronicsWeekly » News http://ift.tt/21fDCVn
via Yuichun

MWC: Mobile needs “prime time” yields at 14nm, says Cadence CEO Lip-Bu Tan

Cadence CEO Lip-Bu Tan says yields on the latest generation of semiconductor process technology 14nm are improving and he expects first 1onm silicon in production next year. Tan was speaking at Mobile World Congress in Barcelona this week, where he was also highlighting the design tool and silicon IP firm’s increasingly close links with ARM, the ...

MWC: Mobile needs “prime time” yields at 14nm, says Cadence CEO Lip-Bu Tan



from ElectronicsWeekly » News http://ift.tt/1OurAuL
via Yuichun

Optimize an Arduino-Based DDS Signal Generator

Find solutions for the output amplitude and distortion issues that can plague the Analog Devices AD9851 DDS waveform generator Arduino module.

from EETimes: http://ift.tt/1T58zHr
via Yuichun

MWC: Low power and security is key for NFC wearable designs , says ARM

ARM is pushing for the wider use of the near field communications (NFC) wireless protocol in wearable devices, at Mobile World Congress in Barcelona this week. The processor firm has created a wearable reference design in its mbed open source design community, and it has selected wireless technology from ams and an STMicroelectronics secure microcontroller. The NFC ...

MWC: Low power and security is key for NFC wearable designs , says ARM



from ElectronicsWeekly » News http://ift.tt/20UIE4n
via Yuichun

MWC: Virtual Reality gets big Facebook push in Barcelona

Browsing among the crowded booths and enthusiastic attendees of Mobile World Congress this week, the world’s biggest showcase of mobile phone technologies, the overwhelming presence of one particular product is blatantly obvious – Virtual Reality (VR), writes Tom Wilson from Barcelona. The floors of the MWC exhibition tend to provide a good indication of the years key consumer products. ...

MWC: Virtual Reality gets big Facebook push in Barcelona



from ElectronicsWeekly » News http://ift.tt/1oAxNAd
via Yuichun

Digi-Key strengthens free design tools with Raspberry Pi starts

Digi-Key has added to its free downloadable PCB design tools which are the Scheme-it and PCBWeb design tools being demonstrated at Embedded World 2016  February 23-25 in Nuremburg this week. Scheme-it can be used to rough-out design concepts as block diagrams, schematics or board expansion format. According to David Sandys, director of digital marketing, Digi-Key: “With the milestone ...

Digi-Key strengthens free design tools with Raspberry Pi starts



from ElectronicsWeekly » News http://ift.tt/1Qxwpoj
via Yuichun

EW: 4Mbit FRAM reaches 54Mbyte/s operation

Fujitsu has launched a 4Mbit ferroelectric RAM (FRAM) with a quad SPI interface at Embedded World in Nuremberg. Called, MB85RQ4ML, with its four bi-directional I/O pins operating at 108MHz it can reach a data transfer rate of 54Mbyte/s. “In this respect, MB85RQ4ML is over four times as fast as our existing parallel 4Mbit FRAM device and even outperforms ...

EW: 4Mbit FRAM reaches 54Mbyte/s operation



from ElectronicsWeekly » News http://ift.tt/1QeE67f
via Yuichun

iPhone supply chain makers suffer decreased revenues

Sales performance of major suppliers in the iPhone 6s device supply chain is likely to stay flat in the first half of 2016 due to decreased orders from Apple, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1R0uych
via Yuichun

China firm terminates investment plan in Western Digital

Western Digital has disclosed its agreement with Unisplendour (Unis), and Unis Union Information System (Unis Union), a subsidiary of Unis that agreed to make a US$3.775 billion equity investment in Western Digital, has been terminated by Unis Union after a decision by the Committee on Foreign Investment in the US (CFIUS) to conduct an investigation into the proposed investment. Western Digital affirmed its commitment to the acquisition of SanDisk and announced that the shareholders of SanDisk will receive the alternate merger consideration as outlined in the merger agreement.

from DIGITIMES: IT news from Asia http://ift.tt/1oGuxUx
via Yuichun

PV installations on farmland in China in dispute

Establishing PV power-generating stations or distributed PV systems on farmland is important towards China's aims to boosting PV power generation in its 13th Five-year Development Plan, but has aroused controversy about the balance between agricultural production and power generation.



from DIGITIMES: IT news from Asia http://ift.tt/1R0uvxc
via Yuichun

Ennoconn sets up industrial PC platform

Ennoconn, a maker of motherboards for industrial applications belonging to the Foxconn Group, has set up an industrial PC platform through stake investments in vertically and horizontally related enterprises. The platform will help it undertake ODM/OEM production and provide product design services, software/hardware integration and developing solutions for clients, company president Steve Chu said at a February 23 investors conference.

from DIGITIMES: IT news from Asia http://ift.tt/1oGuxUt
via Yuichun

Digitimes Research: LED communication is promising

Communication technology using LED diodes and image sensors offers many potential applications, such those for enhancing shopping, entertainment purposes and tourism, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/1R0uvx7
via Yuichun

2016年2月23日 星期二

AUO to start production at 6G LTPS line in China in 3Q16

TFT-LCD panel maker AU Optronics (AUO) is setting up a 6G LTPS TFT-LCD plant in Kunshan, eastern China, and will kick off first-phase production at the end of third-quarter 2016, according to company chairman and CEO Paul Peng.

from DIGITIMES: IT news from Asia http://ift.tt/1oGkG0V
via Yuichun

AMD market share to hit new low in 1Q16

AMD is losing market share to Nvidia in the discrete GPU market while falling further behind Intel in the PC processor field. AMD is likely to suffer from a record low share of both markets in the first quarter of 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1LFtHvK
via Yuichun

MediaTek increases orders to TSMC

With its business scope expanding on the acquisition of several fellow Taiwan-based IC companies, MediaTek has significantly scaled up its orders to Taiwan Semiconductor Manufacturing Company (TSMC) for 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1oGkEpZ
via Yuichun

TSMC orders equipment for NT$2.7 billion

Taiwan Semiconductor Manufacturing Company (TSMC) has purchased facility equipment from M+W High Tech Projects Taiwan and United Integrated Services (UIS) for a total of about NT$2.72 billion (US$81.8 million), according to a company filing with the Taiwan Stock Exchange (TSE).



from DIGITIMES: IT news from Asia http://ift.tt/1LFtHfs
via Yuichun

MWC 2016: Huawei aims to become largest smartphone vendor in 5 years

Huawei aims to surpass Apple in 2-3 years and then Samsung Electronics in five years to become the worlds' largest smartphone vendor, according to a China-based tech.qq.com report, citing Richard Yu, chairman of Huawei Device and CEO of Huawei's consumer business group.

from DIGITIMES: IT news from Asia http://ift.tt/1oGkEpU
via Yuichun

Handset baseband IC market decreases 5% in 2015, says Strategy Analytics

The global cellular baseband processor market declined 5% on year to US$21.1 billion in 2015, according to Strategy Analytics. Shipments of LTE baseband chips exceeded one billion units in 2015 representing a strong double-digit increase, while shipments of 2G and 3G baseband ICs declined significantly.

from DIGITIMES: IT news from Asia http://ift.tt/1LFtGIj
via Yuichun

EEVblog #854 – B52 Bomber Automatic Astro Compass Teardown

What’s inside a Kollsman Instrument Corporation MD-1 Automatic Astro Compass mechanical analog computer from a B52 Bomber?
Part of the star tracker inertial navigation system that calculates the altitude and azimuth.
Thanks to The Geek Group
Forum HERE



from EEVblog http://ift.tt/20U3LUg
via Yuichun

Book Review: The Inventor's Dilemma by David J. Gerber

It's not too much to say that H. Joseph Gerber played an essential role in transforming American industry and boosting the nation's economy.

from EETimes: http://ift.tt/24nZMnw
via Yuichun

Near Field Communications Addressing IoT Adoption Barrier

Proclaiming a "new era in NFC" (near field communications), semiconductor vendor NXP has announced two new devices that target applications beyond traditional financial transactions.

from EETimes: http://ift.tt/1mVOrsU
via Yuichun

Electronic Design’s Products of the Week (2/21-2/26)

read more



from Components http://ift.tt/1LENxat
via Yuichun

IoT Security Watchdog Needed

It's time to rethink the way we design security for the Internet of Things and put some consequences behind those that don't follow the rules, according to a security consultant.

from EETimes: http://ift.tt/1LEHByh
via Yuichun

Linear Technology Expands Test Capability in Singapore

Linear Technology is increasing its Singapore semiconductor test capacity by 45%. The shift from consumer products to industrial ICs is driving the expansion.

from EETimes: http://ift.tt/1T4zwez
via Yuichun

Amazing T-Rex Illusion Video

When all is revealed at the end, you will be "blown away" in astonishment.

from EETimes: http://ift.tt/1XKzQ0S
via Yuichun

Can AR, VR Drive 5G?

SK Telecom demonstrated live 20.5 Gbps transmission speed over the air here in Barcelona. Carriers and tech suppliers are staking 5G claims. Their plunge into 5G is something we all understand. But what's in it for consumers?

from EETimes: http://ift.tt/1p0MSvj
via Yuichun

Adesto Targets IoT With Moneta Memory

Moneta is meant to play to CBRAM's strengths with its ultra-low power consumption to extend battery life or even support ambient energy harvesting

from EETimes: http://ift.tt/1QvMRW4
via Yuichun

ARM launches tiny, power-sipping, A32

Today, ARM launches its smallest most power-efficient V8-A architecture processor core – the 32-bit A32. Cortex-A32 occupies less than 0.25 mm2 of silicon area when made on a 28nm process and consumes under 4mW of total power at 100 MHz. The Cortex-A32 processor can be configured in multiple ways from single- to quad-core. This makes ...

ARM launches tiny, power-sipping, A32



from ElectronicsWeekly » News http://ift.tt/1VBLt8Q
via Yuichun

Designing AC/DC Adaptors for USB Type-C Power

After much discussion, products with new USB Type-C (Type-C) ports are finally here! In 2015, Apple's new MacBook and Google's Nexus 6P were released with the new USB-C port.

from EETimes: http://ift.tt/1QY4T3Y
via Yuichun

Silicon Labs' Geckos Aim at IoT, Take on NXP

Silicon Labs has rolled out multi-protocol SoC devices. Based on ARM Cortex-M4 core, they integrate a 2.4 GHz radio with up to 19.5 dBm output power and hardware security. How do they stack up against their competition?

from EETimes: http://ift.tt/1KGOPqH
via Yuichun

PSoCs Aim for 8-bit Replacement

Cypress Semiconductor has expanded its popular PSoC (programmable system on chip) product line with a new series that fills a gap in its lineup between the low and high end of devices of its PSoC-4 family. The PSoC-4S series targets replacement of 8-bit processors in existing as well as new embedded designs.

from EETimes: http://ift.tt/1WG6YFG
via Yuichun

Smallest network processor ever, from NXP

NXP has announced what it claims is the smallest and lowest power 64bit network processor at Embedded World in Nurnberg this morning. Aimed at portable wireless storage, in IoT applications, it is based around ARM’s 64bit Cortex-A53 into a 9.6×9.6mm package. Called Qoriq LS1012A, it has a formidable array of giga-bit speed interfaces: two 2.5Gbit ...

Smallest network processor ever, from NXP



from ElectronicsWeekly » News http://ift.tt/1L8D8sN
via Yuichun

MWC: Rohde & Schwarz runs four carriers for LTE-A mobiles

Rohde & Schwarz demonstrated at Mobile World Congress in Barcelona an RF test set-up for LTE-Advanced carrier aggregation with four component carriers in the downlink. Two CMW500 testers each generated two component carriers with 2×2 MIMO using the 256QAM modulation method. The complete set-up can achieve a high data throughput of up to 800Mbit/s in ...

MWC: Rohde & Schwarz runs four carriers for LTE-A mobiles



from ElectronicsWeekly » News http://ift.tt/1TCFvqs
via Yuichun

MWC: Panasonic aims to make the car a connected vehicle

Panasonic was at Mobile World Congress in Barcelona this week to talk about its connected vehicle collaboration with automotive safety systems supplier Ficosa. The companies have developed an in-car communications module which supports multiple users and applications simultaneously through the vehicle’s wireless connection. As well as providing an internet connection, the module sets up a ...

MWC: Panasonic aims to make the car a connected vehicle



from ElectronicsWeekly » News http://ift.tt/1WFY9fc
via Yuichun

EW: Choosing the best embedded platform for your journey

The embedded world no longer looks at chips and software in isolation. Developers are now offered platforms, but the selection process still involves matching both your hardware and software requirements, writes Richard Wilson Embedded design these days is all about choosing a platform to meet your requirements. Does the hardware provide the right performance without ...

EW: Choosing the best embedded platform for your journey



from ElectronicsWeekly » News http://ift.tt/1Qe1fXr
via Yuichun

Embedded World: Your Electronics Weekly Guide

A roundup of the latest electronics news from Embedded World 2016 in Nuremberg.

Embedded World: Your Electronics Weekly Guide



from ElectronicsWeekly » News http://ift.tt/1RYwg2J
via Yuichun

MWC: Google and Intel look for bandwidth in citizens band radio

In the search for more mobile data bandwidth Google, Intel, Nokia and Qualcomm are part of a group of companies which proposing to use the US 3.5GHz Citizens Broadband Radio Service (CBRS) for new types of mobile services. Last year the US Federal Communications Commission (FCC) opened 150MHz of spectrum in the 3.5GHz CBRS band ...

MWC: Google and Intel look for bandwidth in citizens band radio



from ElectronicsWeekly » News http://ift.tt/20SqNuT
via Yuichun

Embedded: Platform approach to test

Test and development systems are following the trend for platforms with traditional benchtop instruments being replaced by PC-based test systems with modular instruments sitting on a high speed bus such as PXI, LXI or even Ethernet. For example, NI’s VirtualBench is a software-based multiple instrument which combines a mixed-signal oscilloscope, function generator, digital multimeter, programmable ...

Embedded: Platform approach to test



from ElectronicsWeekly » News http://ift.tt/1KGw9HF
via Yuichun

MWC LG G5 joins the party with a Bang (& Olufsen)

LG has confirmed its latest flagship smartphone, the G5, at a special event before the official opening of Mobile World Conference in Barcelona this week, writes Tom Wilson. Among the usual announcements of faster processors and better camera technology, LG had an ace up its sleeve to differentiate itself from the competition by debuting the world’s first ...

MWC LG G5 joins the party with a Bang (& Olufsen)



from ElectronicsWeekly » News http://ift.tt/20SqPCK
via Yuichun

MWC: Keysight demos tester for 1Gbit/s LTE-A

Keysight Technologies will demonstrate its E7515A UXM wireless test set at Mobile World Congress in Barcelona. The demonstration will feature LTE-A Pro end-to-end IP data throughput of 1Gbit/s using three component carriers, 4×4 and 2×2 downlink MIMO, and 256 QAM downlink modulation. Also on display is the UXM’s first-to-market benchtop support for five component carrier (5CC) data ...

MWC: Keysight demos tester for 1Gbit/s LTE-A



from ElectronicsWeekly » News http://ift.tt/1PUJfQg
via Yuichun

MWC: LG licenses vision processing IP for mobiles

LG Electronics has licensed the Ceva imaging and vision digital signal processor (DSP) silicon intellectual property (IP) for integration into mobile processor SoCs. The DSPs carry out the image processing needed in computational photography and computer vision applications such as video analytics, augmented reality and advanced driver assistance systems (ADAS). The dedicated DSPs take off-loaded tasks ...

MWC: LG licenses vision processing IP for mobiles



from ElectronicsWeekly » News http://ift.tt/1QdQSCV
via Yuichun

Antenova reveals antenna for super-fast data transfer between phones

Antenova has revealed an antenna for TransferJet, and is demonstrating it at Embedded World in Nuremberg. TransferJet is a wireless technology for high-speed (375Mbit/s) transfer of large files, secured by proximity – think fast NFC. It operates in the unlicensed bands in places including the USA, Europe and Japan. Antenova’s device is a surface-mount coupler called ...

Antenova reveals antenna for super-fast data transfer between phones



from ElectronicsWeekly » News http://ift.tt/1TwtXUo
via Yuichun

Digitimes Research: India faces 3 problems in boosting mobile Internet

Although mobile broadband Internet-access (3G/4G) subscribers in the India market rapidly increased to 93.15 million in mid-2015, the country faces three main problems in boosting services: huge gaps in deployment of mobile Internet-access networks between rural and urban areas, unhealthy price competition arising from too many mobile telecom carriers, and a lack of long-tern government planning in allocating frequency band units, according to Digitimes Research.

from DIGITIMES: IT news from Asia http://ift.tt/1WFGtQL
via Yuichun

CyberLink optimistic about 1Q16

Multimedia software developer CyberLink expects consolidated revenues for the first quarter of 2016 to grow 7-10% on year and the corresponding gross margin and net operating margin to reach 83-86% and 34.5-37.5%, respectively, company chairman Jau Huang said at a February 22 investors conference.



from DIGITIMES: IT news from Asia http://ift.tt/1UjQKDA
via Yuichun

ARM unveils Cortex-A32 processor for embedded and IoT applications

ARM has announced the Cortex-A32 processor IP designed for 32-bit embedded and IoT applications.

from DIGITIMES: IT news from Asia http://ift.tt/1WFGtAr
via Yuichun

Semiconductor capital spending declines in 2015, says IC Insights

According to IC Insights, total worldwide semiconductor industry capital spending is forecast to show low single-digit growth in 2016 after registering a 1% decline in 2015. Last year's drop in semiconductor industry capital spending was a significant departure from historical patterns that go back more than 30 years, said the research firm.

from DIGITIMES: IT news from Asia http://ift.tt/1UjQKDw
via Yuichun

Engineers Week Turns 65

All around the world it is Engineers Week 2016 (February 21-to-27)-a time when working engineers and engineering educators are encouraged to reach out to pre-collegiates at every level (K-through-12) and demonstrate to them that engineering is a good career choice for them.

from EETimes: http://ift.tt/1Q7QaUc
via Yuichun

2016年2月22日 星期一

MWC: Sequans and Skyworks offer LTE-M platform for IoT

Sequans Communications has partnered with Skyworks Solutions to design an integrated IoT device for LTE Category M (Cat M) applications. The device leverages Skyworks’ half-duplex RF front-end module and Sequans’ Monarch LTE Cat M single chip to support designs  based on Release 13 of the 3GPP LTE standard. It also offers low data rate, low power ...

MWC: Sequans and Skyworks offer LTE-M platform for IoT



from ElectronicsWeekly » News http://ift.tt/1QdFGpT
via Yuichun

IoT Networks Expand at MWC

Ingenu, LoRa and Sigfox announced new partners at the Mobile World Congress as the low-power wide area networks vie with cellular to connect the Internet of Things.

from EETimes: http://ift.tt/1L7XMsU
via Yuichun

Innolux fully restores production at 8 factories in STSP

Innolux on February 22 announced the complete restoration of production at eight factories at the Southern Taiwan Science Park (STSP) which were hit by a large earthquake on February 6.

from DIGITIMES: IT news from Asia http://ift.tt/1Q7KlGw
via Yuichun

HTC Vive pricing lower than expected

HTC has set the price for the consumer edition of its first virtual reality (VR) headset, the HTC Vive, at US$799, which is much lower than market expectation of US$1,500.

from DIGITIMES: IT news from Asia http://ift.tt/1KGcnff
via Yuichun

Taiwan plans to allow PV power stations on inarable land

The Taiwan government plans to allow PV power stations to be built on contaminated land not suitable for farming and land with serious subsidence, according to vice economics minister Shen Jong-chin.



from DIGITIMES: IT news from Asia http://ift.tt/1Q7KlGk
via Yuichun

Taiwan attracts foreign direct investment of nearly US$533 million in January

Taiwan's Ministry of Economic Affairs (MOEA) approved 283 foreign direct investment projects (except from China) totaling US$533 million in January 2016, respectively increasing 2.17% and decreasing 60.71% on year, according to MOEA statistics released on February 22.

from DIGITIMES: IT news from Asia http://ift.tt/1KGcmrO
via Yuichun

Samsung Display offers standard AMOLED solutions to tout China smartphone vendors

Samsung Display, in an attempt to tout China-based smartphone vendors, has offered standard AMOLED touch panel solutions for common use to keep prices down, according to Taiwan-based supply chain makers.

from DIGITIMES: IT news from Asia http://ift.tt/1Q7KlpY
via Yuichun

MWC 2016: Acer announces Liquid Jade 2 flagship

Acer has announced its latest flagship smartphone, the Liquid Jade 2, as well as the new entry-level Liquid Zest family products at the ongoing MWC 2016.

from DIGITIMES: IT news from Asia http://ift.tt/1KGckjJ
via Yuichun

Several Taiwan IC design houses ready for virtual reality boom

Etron Technology, Elan Microelectronics and C-Media Electronics are already engaged in the development of chips for virtual reality (VR) devices gearing up for the market boom. However, some chip developers like MediaTek remain cautious in developing chips for VR applications given that the market is still immature.



from DIGITIMES: IT news from Asia http://ift.tt/1Q7KlpW
via Yuichun

MediaTek expands wearables portfolio with MT2511 for health and fitness devices

MediaTek has announced the MT2511, the company's first bio-sensing analog front-end (AFE) chip designed for health and fitness devices. The MT2511 enables bio signal acquisition through electrocardiography (ECG) and photoplethysmography (PPG) simultaneously.

from DIGITIMES: IT news from Asia http://ift.tt/1KGckjz
via Yuichun

ASE, KYEC make equipment purchases

IC packaging and testing houses Advanced Semiconductor Engineering (ASE) and King Yuan Electronics (KYEC) have both announced equipment purchases via their respective company filings with the Taiwan Stock Exchange (TSE).

from DIGITIMES: IT news from Asia http://ift.tt/1Q7KlpO
via Yuichun

Quanta Computer to partner with Ericsson for cloud computing solutions

Notebook ODM Quanta Computer on February 22 announced a partnership with Ericsson for cooperation to promote cloud computing solutions in the global market.

from DIGITIMES: IT news from Asia http://ift.tt/1KGck3k
via Yuichun

Testing company CHPT to list on Taiwan OTC

Chunghwa Precision Test Technology (CHPT), which specializes in high-end IC testing solutions such as load boards for final testing and probe cards for wafer probing, will be listed on the Taiwan over-the-counter (OTC) market in the second half of March 2016, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1Q7Kn11
via Yuichun

Huawei introduces its first PC powered by Intel chips

At the ongoing Mobile World Congress (MWC) 2016, Huawei has unveiled its first ever PC, the Huawei Matebook, which is a 2-in-1 device powered by a sixth-generation Intel Core m-series processor.

from DIGITIMES: IT news from Asia http://ift.tt/1KGck3b
via Yuichun

Facebook Friends the Telco Cloud

Cellular base stations and other telco gear may go the way of open servers if a new group gets its way.

from EETimes: http://ift.tt/1oZeD7w
via Yuichun

Autotestcon issues call for papers

The annual conference for test engineers takes place in Anaheim during September, 2016.

from EETimes: http://ift.tt/1Ormrnk
via Yuichun

Volvo Introduces Digital Car Key

No car key, no driving. This simple rule for generations of car drivers, is approaching the end of its lifetime. At least for Volvo drivers: The Swedish carmaker plans to offer cars without keys from 2017.

from EETimes: http://ift.tt/1oZ8aJU
via Yuichun

Watson Driven Security

Preemptive security with Watson, to business directions of hybrid clouds, Power8 servers and x13s mainframes, were all discussed in detail at IBM's Global Business Partners 2016 (Jan. 14-18, Orlando).

from EETimes: http://ift.tt/1Q74TyG
via Yuichun

How to Get Wireless Mesh-Networked ESC "Hello There!" Badge

In which all is revealed as to how 75 lucky attendees can lay claim to their very own ESC Boston wireless mesh networked 'Hello There!' badges.

from EETimes: http://ift.tt/1VA8jxP
via Yuichun

Why Apple Is Fighting The Good Fight

A court has told Apple to compromise an iPhone owned by one of the shooters in the December San Bernardino attack that killed 14 people. But Apple should not be required to enlist in the war on bad things.

from EETimes: http://ift.tt/1L6MUeV
via Yuichun

Sky's the Limit for Printed/Flexible Electronics Market

The printed and flexible electronics market segment is expected to be worth over $69 billion by 2026.

from EETimes: http://ift.tt/1RXyMpY
via Yuichun

Developing Secure Embedded Software

Sponsored by: Programming Research LTD
Most organization put considerable focus on software quality, but software security is different. Embedded development presents the challenge of coding in a language that’s inherently insecure; and quality assurance does little to ensure security.

read more



from Components http://ift.tt/1WEjGVy
via Yuichun

Addressing Security Vulnerabilities in Embedded Applications Using Best Practice Software Development Processes and Standards

Sponsored by: Programming Research LTD
Many embedded systems and "connected” IoT products lack proper security due to outdated software development practices. Organizations now know they need to incorporate security into the software SDLC, yet many lack security expertise and are struggling with how to implement best practices.

read more



from Components http://ift.tt/1KEMhJP
via Yuichun

How IoT is Making Security Imperative for All Embedded Software

Sponsored by: Programming Research LTD
Many IoT products lack proper security due to outdated software development practices. Hackers and criminals are acutely aware that many of the security procedures and applications in use today were designed to defend against attacks in the PC era – not current IoT threat vectors.

read more



from Components http://ift.tt/1VzUIGM
via Yuichun

Lenovo Unveils New Smartphones, Tablets And Notebooks

Variety, low prices, and clean designs are clear themes for Lenovo, which introduced Android phones, Windows 10 convertible laptops, and tablets at Mobile World Congress 2016.

from EETimes: http://ift.tt/1Uioykw
via Yuichun

MWC: Samsung reunites with Qualcomm for S7 Edge processors, but not in Europe

After choosing to exclusively adopt the use of its own Exynos 7 octa-core processor in last year’s Galaxy S6 and S6 Edge models, Samsung has once again turned to Qualcomm Technologies to power the new Galaxy S7 and S7 Edge, writes Tom Wilson from Mobile World Congress in Barcelona. Qualcomm has confirmed that the Snapdragon ...

MWC: Samsung reunites with Qualcomm for S7 Edge processors, but not in Europe



from ElectronicsWeekly » News http://ift.tt/1Q5XtMi
via Yuichun

Consumer Key to 5G: 'Cost, Cost, Cost'

5G Panel at Mobile World Congress promised enrichment of the consumer experience but discussed the issues of connected cost of services in the 5G future.

from EETimes: http://ift.tt/1Q5JgPu
via Yuichun

MWC: Test firms run 1Gbit/s downloads on 4G LTE-A device

Gigabit per second mobile download speeds will become a reality before the roll-out of 5G services. 4G LTE-A mobile data downloads of 1Gbit/s are being demonstrated on a commercial modem device for the first time at Mobile World Congress this week. Qualcomm’s Snapdragon X16 LTE modem has been put through its paces by two test ...

MWC: Test firms run 1Gbit/s downloads on 4G LTE-A device



from ElectronicsWeekly » News http://ift.tt/1T1xfk6
via Yuichun

MWC: 64-bit processors make phones faster and safer, says ARM

Cloud computing services will change the way we use our mobile phones in the future, and it is changing the design of the processor in the handset, according to processor firm ARM. Mobile devices are rapidly moving to 64-bit processors for performance and security benefits. Cloud storage and data analysis is starting to have an ...

MWC: 64-bit processors make phones faster and safer, says ARM



from ElectronicsWeekly » News http://ift.tt/1L5FsAU
via Yuichun

MWC: Tester for LTE-LAA in unlicensed frequency bands

Cobham Wireless says its TM500 network tester can now validate all the main features of LTE-Advanced (LTE-A) up to and including Release 12 of the 3GPP specification. The system has also added support for carrier aggregation with unlicensed frequency bands as used for LTE-LAA (Licence Assisted Access), a major feature of 3GPP Release 13. LTE-LAA ...

MWC: Tester for LTE-LAA in unlicensed frequency bands



from ElectronicsWeekly » News http://ift.tt/1oxatmO
via Yuichun

MWC: Wireless metering goes long range with LoRA

Amiho Technology has introduced a wireless transceiver module which integrates two IoT-friendly radio interfaces – LoRa and the 169MHz Wireless Meter-Bus. The module is designed to support long-range links with its power output rated up to +28.5dBm. The AM091 module size is 17.8 x 20.3mm and it comes pre-loaded with the Cambridge-based firm’s software stack ...

MWC: Wireless metering goes long range with LoRA



from ElectronicsWeekly » News http://ift.tt/1L5jhdW
via Yuichun

Mobile World Congress: Get the full Electronics Weekly guide

The best of Mobile World Congress, highlighting latest trends in mobile technology and services.

Mobile World Congress: Get the full Electronics Weekly guide



from ElectronicsWeekly » News http://ift.tt/1QUJx7F
via Yuichun

MediaTek Launches Its First 16 nm SoC

MediaTek, Qualcomm's largest competitor in the smartphone silicon business, today announced its first 16 nm system-on-chip (SoC), the Helio P20.

from EETimes: http://ift.tt/1Opqdxe
via Yuichun

MWC: Smartphones get sensorless proximity sensing

Smartphones can have proximity sensing without requiring a hardware optical sensor, according to a Norway-based software company. According to Elliptic Labs at Mobile World Congress in Barcelona this week, it is possible to give smartphones a proximity sensing function by installing software and using existing components on the phone. It is based on ultrasound and makes use ...

MWC: Smartphones get sensorless proximity sensing



from ElectronicsWeekly » News http://ift.tt/1TuX8ar
via Yuichun

MWC: Sensor Hubs Redefine Mobile

In new smartphones and wearable devices, sensors and sensor hubs are elbowing their way into prominence. MEMS vendors are competing over how well their sensors can extract data and how much value they can add to this data.

from EETimes: http://ift.tt/1QbhioP
via Yuichun

MediaTek expands flagship mobile-SoC lineup

The MediaTek Helio P20 system-on-chip has been announced as the latest addition to the company's top-tier Helio family of mobile processors.

from DIGITIMES: IT news from Asia http://ift.tt/1LBXcif
via Yuichun

Global 4Q15 mobile DRAM revenues slip 1%, says DRAMeXchange

Global sales of mobile DRAM decreased 1% sequentially to US$4.5 billion in the fourth quarter of 2015, according to DRAMeXchange. Steady growth in smartphone shipments limited the revenue slide.



from DIGITIMES: IT news from Asia http://ift.tt/1RWmv5b
via Yuichun

In Western Europe, 1 in 5 tablets sold in 4Q15 is detachable, says IDC

According to figures published by IDC, in the fourth quarter of 2015 over 14 million tablets were shipped to Western Europe as the market volume declined 10.1% on year. In value terms, however, the decline was only 1.8% on year, thanks to growing penetration of detachable tablets boosted by an increasing supply of devices with wide-ranging specs catering for the diverse needs of consumers and professionals. In the fourth quarter of the year, 2.6 million tablets with a detachable keyboard were shipped, up from just over 800,000 units a year ago, accounting now for almost 20% of the overall tablet market.

from DIGITIMES: IT news from Asia http://ift.tt/1LBXci9
via Yuichun

LTPS, Oxide, AMOLED displays penetrate 41.8% of China-made handsets in 2015, says CINNO research

LTPS TFT-LCD, AMOLED and Oxide TFT-LCD panels took up 28.3%, 9.1% and 4.4% respectively of China-made handsets in 2015, according to China-based CINNO Research.

from DIGITIMES: IT news from Asia http://ift.tt/1RWmtu1
via Yuichun

Taiwan IT vendors mixed on HNA Ingram Micro acquisition

Seeing the global largest IT distributor Ingram Micro having agreed to sell the company to the China-based HNA Group at a price of US$6 billion, Acer, which is a client of Ingram Micro, is optimistic about the acquisition and believes the move should improve retail channel efficiency.

from DIGITIMES: IT news from Asia http://ift.tt/1LBX9Tm
via Yuichun

Imec and iMinds to merge

The research houses Imec and iMinds are to merge under the Imec name. iMinds will become an additional business unit within Imec, resulting in a new research center that will fuse the technology and systems expertise of more than 2,500 Imec researchers worldwide with the digital competencies of some 1,000 iMinds researchers representing nearly 50 ...

Imec and iMinds to merge



from ElectronicsWeekly » News http://ift.tt/1OpixeG
via Yuichun

2016年2月21日 星期日

Philips asks suppliers to reduce LED light bulb ex-factory prices to US$0.80 in 2016

Philips has asked suppliers to lower ex-factory quotes for LED light bulbs to US$0.80 in 2016, according to Taiwan-based LED makers.

from DIGITIMES: IT news from Asia http://ift.tt/1oCTro6
via Yuichun

LONGi to take over Malaysia solar wafer plant from SunEdison

China-based mono-Si solar wafer maker Xi'an LONGi Silicon Materials has signed with US-based renewable energy development firm SunEdison to acquire the latter's solar wafer factory in Kuching, Malaysia, at US$63 million.

from DIGITIMES: IT news from Asia http://ift.tt/1WCHV6p
via Yuichun

Tainergy Tech nets NT$1.10 per share for 2015

Crystalline silicon solar cell maker Tainergy Tech generated net EPS of NT$1.10 for 2015, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1oCTro4
via Yuichun

Foxconn to produce 2-in-1 notebook for Huawei Device

Huawei Device on February 21, one day before the opening of Mobile World Congress 2016 in Barcelona, Spain, unveiled its first 2-in-1 tablet/notebook, the MateBook. Foxconn Electronics is the ODM for the model, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1WCHVU3
via Yuichun

Pegatron to compete for notebook ODM orders from Dell

Pegatron Technology is poised to compete for notebook ODM orders from Dell to make up for Toshiba canceling orders for consumer notebooks in 2016, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1oCTpfK
via Yuichun

China market: Asustek, Gigabyte to hike motherboard prices

Asustek Computer and Gigabyte Technology will increase motherboard retail prices in the China market in February to cope with potential foreign exchange losses arising from large fluctuations in exchange rate between the Chinese Yuan (CNY) and US dollar, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1WCHVTZ
via Yuichun

Samsung Taps Q'Comm in S7

Samsung announced the next generation of its flagship Galaxy smartphones at Mobile World Congress in Barcelona. The Galaxy S7 and S7 Edge offer small updates to the S6 line, including bigger screens, larger batteries and a Qualcomm processor.

from EETimes: http://ift.tt/1VyutAr
via Yuichun

NXP Processor Powers IoT, Networks

NXP Semiconductors announced a new low-power processor based on Freescale technology that is the size of a microcontroller. With 1 Watt typical power in a 9.6mm x 9.6mm package, the 64-bit QorIQ LS1012A processor may be the smallest and lowest power embedded processor in the market.

from EETimes: http://ift.tt/1KCLysD
via Yuichun

MWC: Phones lose grip on mobile

What is interesting about Mobile World Congress (MWC) 2016 is that the most exciting developments won’t be in mobile phones. As the mobile industry shows its technology in Barcelona this week (22-25 February) it will be health monitors smart watches, connected cars and smart cities which will grab the headlines and the interest, maybe. The mobile ...

MWC: Phones lose grip on mobile



from ElectronicsWeekly » News http://ift.tt/1TuuAh6
via Yuichun

MWC: Samsung returns to basics with S7 smartphone

Samsung has launched its latest smartphones the Galaxy S7 and S7 Edge this evening in Barcelona, on the eve of Mobile World Congress 2016. It is last year’s model, the S6/S6 edge, with more refinements. This is the problem all model phone firms have. There are few fundamental technology changes in the pipeline, so new ...

MWC: Samsung returns to basics with S7 smartphone



from ElectronicsWeekly » News http://ift.tt/1OoebEm
via Yuichun

MWC: LG G5 smartphone has mix & match hardware

LG has launched the mix and match smartphone, ahead of Mobile World Congress which starts here in Barcelona tomorrow (22 February). As the mobile phone suppliers look at ways to innovate handset design, which has hardly changed in the last five years, LG has come up with the modular smartphone. If you want a B&O ...

MWC: LG G5 smartphone has mix & match hardware



from ElectronicsWeekly » News http://ift.tt/1oCmUyg
via Yuichun

2016年2月20日 星期六

American Power Grid Vulnerable to Catastrophic Failure

Can you imagine what life would be like if a large portion of the national grid was out of action for 6 months or 9 months or even longer?

from EETimes: http://ift.tt/1ouophB
via Yuichun

2016年2月19日 星期五

EEVblog #853 – How A Multimeter Works

This was supposed to be a repair video of a Fluke 17B multimeter.
But embarrassingly turned into an impromptu How a Mulitmeter Works video.
Dave goes through the Fluke 17B schematic and explains how stuff works.
Forum HERE
Teardown video HERE
Thermocouple Tutorial HERE



from EEVblog http://ift.tt/1SXDIfW
via Yuichun

Battery Storage Systems Shine With Solar Deployments



from EETimes: http://ift.tt/24gl6v1
via Yuichun

Safe Home Energy Storage

Technology is getting homeowner closer to storing energy from solar cells. Former EE Times editor Patrick Mannion interviews Avago application engineer Tee Chun Keong.

from EETimes: http://ift.tt/1otGVqi
via Yuichun

15 Companies Hoping to Make Lebanon a Global Tech Hub

Positioning itself as a "Silicon Valley of the Middle East," Beirut is making a home to start ups that are disrupting the electronics industry.

from EETimes: http://ift.tt/1RcICBW
via Yuichun

Product Development Strategies for Systems Engineers

Sponsored by Jama Software
The “smarter” and more complex modern systems get, the more complicated the process required to build them becomes. Systems engineering teams working in highly regulated or highly disruptive industries focused on embedded systems suffer the pain of product and systems development and management.

read more



from Components http://ift.tt/1QpuR5W
via Yuichun

Traceability Best Practices

Sponsored by Jama Software
As products get smarter, building them right becomes a matter of managing complexity. Products have more requirements and companies have globally distributed teams and more products in their portfolios.

read more



from Components http://ift.tt/1QpuQPE
via Yuichun

Verify, Validate, Trace and Test

Sponsored by Jama Software
Designing a reliable test strategy requires broad, strategic thinking. The goal of verification is to ensure you release a best-quality system that meets customer expectations as documented in your early design concept and requirements gathering phases. To maximize the value of your test process, test your product objectives early.

read more



from Components http://ift.tt/1PXAmU9
via Yuichun

Power over Ethernet, a Source for Area Lighting?

The latest power over Ethernet specification significantly increases the power level and opens up dramatically expanded application options.

from EETimes: http://ift.tt/1TuS07q
via Yuichun

Make Useful Comment Headers

Modules should start with great comment headers. Too many don't.

from EETimes: http://ift.tt/1OjPzwy
via Yuichun

MWC: BT trials cloud radio access network for 5G

Researchers at BT’s Adastral Park Labs in Ipswich say they have created a cloud radio access network (C–RAN) mobile service over copper. In partnership with US-based chip firm Cavium they used G.fast technology to deliver cellular data over copper lines at speeds of 150-200Mbit/s. The traditional backhaul connection is optical fibre and BT claims this will remove the ...

MWC: BT trials cloud radio access network for 5G



from ElectronicsWeekly » News http://ift.tt/1Ucn2jL
via Yuichun

5G: 10 Questions You Were Afraid to Ask

The emergence of 5G mobile network is currently the big challenge, and the deepest mystery, in the technology world. Prior to the Mobile World Congress next week, we posed ten questions to some industry analysts and observers.

from EETimes: http://ift.tt/1Tqwu2s
via Yuichun

MWC: Biometric tech is vital for mobile

The growing interest in personal healthcare means that mobile device suppliers need to develop new expertise in biometrics. Mio Global, for example, has not only developed a wrist-strap fitness monitor, it has created its own metric for heart rate tracking. Dubbed Personal Activity Intelligence (PAI), it translates your heart rate data and personal profile information ...

MWC: Biometric tech is vital for mobile



from ElectronicsWeekly » News http://ift.tt/1oPsmhj
via Yuichun

Best Single Board Computers 2016

Now that we're well into 2016, here's our baseline of the best single-board computers. What improvements will 2016 bring?

from EETimes: http://ift.tt/1SWimiW
via Yuichun

Mars and Other Challenges

NASA's Ames center director talked about the challenges getting to Mars at a Fujitsu Labs event that showcased its research.

from EETimes: http://ift.tt/1TqmeqZ
via Yuichun

Optical memory lasts for billions of years

The Universal Declaration of Human Rights will last beyond humans, probably, as it has been recorded on a medium that will last 13.8 billion years (at 190°C), said the University of Southampton, whose Optoelectronics Research Centre (ORC) recorded it. The file is written in three layers of nano-structured dots separated by five micrometres into quartz by femtosecond ...

Optical memory lasts for billions of years



from ElectronicsWeekly » News http://ift.tt/1QO61qU
via Yuichun

7 Trends You'll See at MWC 2016

CES is an increasingly common place for cellular industry news, but Mobile World Congress remains the dominant conference for wireless applications. What should you expect to hear announced there? Glad you asked.

from EETimes: http://ift.tt/1osxMyi
via Yuichun

Lucky Errors Found in Bitcoin

We found bitcoin mining is inherently tolerant to faults in the mining ASIC that can be exploited to maximize profits by up to 30% -- techniques that could be useful to any application of approximate computing.

from EETimes: http://ift.tt/1QNTVOm
via Yuichun

Digitimes Research: Added capacity in China to drive down high-end smartphone panel pricing in 2016

In 2016, China's smartphone panel capacity is expected to increase steadily in 2016, as key makers Tianma Micro-electronics and BOE Technology increase capacity and other makers including GVO from Kunshan and Truly Opto-electronics increase the share of smartphone panels they produce, according to a recent Digitimes Research Special Report.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjYhb
via Yuichun

Digitimes Research: Qualcomm to lead AP market in 2016, Intel to show strongest growth

The global application processor (AP) market will grow 8.5% in 2016, reaching 1.78 billion units, according to a recent Digitimes Research Special Report. The growth rate in 2016 will surpass the annual growth of 7.9% seen in 2015.



from DIGITIMES: IT news from Asia http://ift.tt/1TpQGS3
via Yuichun

Taiwan government urged to boost PV power generation

Executives from three local PV firms have jointly urged the Taiwan government to step up efforts promoting PV power generation to phase out the country's nuclear power plants and reduce carbon emissions.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjYh9
via Yuichun

IBM Taiwan to request parent company set up local data center

In order to strengthen marketing of cloud services in the Taiwan market, IBM Taiwan will request its US headquarters to establish a data center either on its own or through cooperation with local telecom carriers, according to Mars Hsu, vice president of IBM Taiwan's cloud computing business.

from DIGITIMES: IT news from Asia http://ift.tt/1TpQGBx
via Yuichun

Digitimes Research: IC manufacturers to cross into packaging industry

With IT products such as smartphone and Internet of Things (IoT) devices being designed with considerations of high performance, low cost, low power consumption and small form factor, IC makers have been pushing 16/14nm processes and developing 10nm processes to satisfy demand. However, as Moore's Law starts slowing the pace of advancement, many IC makers have crossed into the packaging industry to continue to meet demand.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjZl3
via Yuichun

GET may turn profitable in 1Q16

Solar wafer maker Green Energy Technology (GET) is likely to swing to profits in first-quarter 2016 after posting net operating losses for 19 consecutive quarters, thanks to strong demand and improved pricing, according to market analysts.

from DIGITIMES: IT news from Asia http://ift.tt/1TpQGBv
via Yuichun

China market: Huawei to dethrone Xiaomi as top smartphone vendor in home market in 2016

Huawei may dethrone Xiaomi Technology to become the largest smartphone vendor in the China mamrket in 2016 optimizing its improved brand image and the release of an array of new models, according to sources from the handset supply chain in Taiwan.



from DIGITIMES: IT news from Asia http://ift.tt/1XBjWpB
via Yuichun

TPK suffers net loss of over NT$20 billion for 2015

Touch panel maker TPK Holding suffered net loss of NT$20.007 billion (US$609 million) for 2015 business operations mainly due to fixed and intangible asset impairment of NT$18.20 billion and total loss of NT$1.40 billion from non-operating investment in its subsidiary Cando, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1TpQGBs
via Yuichun

Digitimes Research: Telecom carriers to drive FinTech technology in Japan

With Japan-based Mizuho Bank and Mitsubishi Tokyo UFJ Bank having recently crossed into the Financial Technology (FinTech) industry, FinTech has become a new trend. However, Japan's financial organizations are tightly ruled by local policies, and therefore FinTech may see difficulties realizing its full potential. Instead, telecom carriers, which are not regulated as strictly as banks and have advantages in user base and telecommunication technologies, are likely to become the main drivers of FinTech technology.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjWpz
via Yuichun

Global 4Q15 DRAM sales fall 9%, says DRAMeXchange

The global DRAM industry posted US$10.27 billion in revenues for the fourth quarter of 2015, representing a 9.1% sequential drop, according to DRAMeXchange. The revenue decline was caused by falling DRAM ASPs and persistent oversupply.

from DIGITIMES: IT news from Asia http://ift.tt/1TpQGBq
via Yuichun

Utilization rates rise at 8-inch fabs in China and Taiwan

Capacity utilization rates at 8-inch fabs in China and Taiwan have risen sharply since the first quarter of 2016 thanks mainly to a pull-in of orders for fingerprint sensors and large-size panel driver ICs, according to industry sources.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjWpv
via Yuichun

Qualcomm and Lenovo sign 3G/4G patent license agreement

Qualcomm and Lenovo have announced that they have entered into a new 3G and 4G patent license agreement for China, which covers devices marketed by both Motorola and Lenovo.

from DIGITIMES: IT news from Asia http://ift.tt/1TpQGl9
via Yuichun

Xiaomi to unveil Mi 5 in Barcelona and Beijing, says report

Xiaomi Technology will unveil its new smartphone, the Xiaomi Mi 5, at MWC 2016 in Barcelona, Spain and in Beijing on February 24, according to a Chinese-language Economic Daily News (EDN) report.

from DIGITIMES: IT news from Asia http://ift.tt/1XBjWpt
via Yuichun

Fujitsu samples Quad SPI FRAM

Fujitsu is sampling a Quad SPI FRAM. By using four bi-directional I/O pins, the  device can reach a data transfer rate of 54MByte/s at an operating frequency of 108MHz. Called MB85RQ4ML, it is over four times as fast as Fujitsu’s existing parallel 4Mbit FRAM device and outperforms the 45ns parallel SRAM, the company says. MB85RQ4ML is offered ...

Fujitsu samples Quad SPI FRAM



from ElectronicsWeekly » News http://ift.tt/1os98xz
via Yuichun

Soitec in volume production of 300mm RF-SOI

Soitec has begun mass production of 300mm RF-SOI substrates for mobile communications. The 300mm version of Soitec’s RFeSI90 substrate enables more highly integrated ICs for 4G/LTE-Advanced communications and the next generation of wireless technologies, including 5G, says the company. There are 300mm RFeSI90 wafer samples available for product qualification. Soitec’s RF-SOI substrates are used in ...

Soitec in volume production of 300mm RF-SOI



from ElectronicsWeekly » News http://ift.tt/1KYVfBl
via Yuichun

2016年2月18日 星期四

Global smartwatch shipments overtake Swiss watch shipments in 4Q15, says Strategy Analytics

Global smartwatch shipments reached 8.1 million units in the fourth quarter of 2015 compared to 7.9 million Swiss watches shipped during the same period, the first time ever that smartwatches have out-shipped Swiss watches on a global basis, according to Strategy Analytics.

from DIGITIMES: IT news from Asia http://ift.tt/1Oj2qz5
via Yuichun

2016 to be a year of opportunity, says Adlink chairman

Out of the last 20 years, 2016 will be the year with the most business opportunities for industrial computing device makers, according to chairman Jim Liu for Adlink Technology.

from DIGITIMES: IT news from Asia http://ift.tt/1SDzAkS
via Yuichun

Notebook ODMs pushing into IoT business

Notebook ODMs have been expanding into the Internet of Things (IoT) industry with both Compal Electronics and Pegatron Technology having established teams to specifically handle related development, while Wistron has been investing in IoT players to build cooperation.

from DIGITIMES: IT news from Asia http://ift.tt/1Oj2or8
via Yuichun

Coretronic transforming from BLU maker to display solution provider, says chairman

Coretronic has been transforming from a BLU (backlight unit) maker mainly to a display solution provider to increase production value and gross margin, according to company chairman Chang Wei-yi.



from DIGITIMES: IT news from Asia http://ift.tt/1SDzAkM
via Yuichun

Foxconn investing to versify operations, says chairman

The Foxconn Group has been transforming operations to focus on versatility via investments focusing on cloud computing, Big Data, smart home, and Industry 4.0-based smart factories. Many of the investment projects will take a long time to see effects, according to chairman Terry Guo.

from DIGITIMES: IT news from Asia http://ift.tt/1Oj2oqU
via Yuichun

ATrack Technology expects revenues proportion from North America to top 50% in 2016

Own-brand GPS maker ATrack Technology, in view of booming orders from North America, expects the revenue proportion from the regional market to rise from 25% in 2015 to over 50% in 2016, according to the company.

from DIGITIMES: IT news from Asia http://ift.tt/1SDzBVW
via Yuichun

KYEC expects flat performance in 1Q16

King Yuan Electronics (KYEC) expects to report flat sequential growth in revenues for the first quarter of 2016. For the full year, the testing company looks to a high single-digit revenue increase.

from DIGITIMES: IT news from Asia http://ift.tt/1Oj2qis
via Yuichun

SMIC posts record 2015 revenues

Semiconductor Manufacturing International (SMIC) has announced revenues for the fourth quarter of 2015 increased 7.1% sequentially and 25.6% on year to a record high of US$610.1 million. Revenues for all of the year totaled US$2.24 billion, also a record high.

from DIGITIMES: IT news from Asia http://ift.tt/1SDzAkF
via Yuichun