2022年6月30日 星期四

Top 5 MPU suppliers take 86% share

The top five MPU  suppliers took their market share to 86% in 2021, says IC Insights, with Intel edging closer to half the total sales in microprocessors. Total microprocessor sales are expected to maintain double-digit percentage growth in 2022, rising nearly 12% to a record-high $114.8 billion, thanks to higher ASPs. Total MPU sales grew ...

This story continues at Top 5 MPU suppliers take 86% share

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/GLSOVbK
via Yuichun

US tech controls squeezing the Russians

The US and its allies are squeezing the Russians by denying them technology, said the US Secretary of Commerce Gina Raimondo (pictured) earlier this week. “Since the controls were put in place, global exports of semiconductors to Russia from all sources have declined by almost 90 percent,” said Raimondo, “leaving Russian companies without the chips ...

This story continues at US tech controls squeezing the Russians

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zKypmjH
via Yuichun

Korea’s memory inventory grows

May’s menory  inventory in Korea rose by its highest amount in four years, says Statistics Korea. Memory inventory rose 53.4% in May from a year earlier, the  statistics office said yesterday. A 54.1% gain in March 2018 coincided with a slowdown in revenue growth in the memory chip industry. Chip stockpiles have been rising on ...

This story continues at Korea’s memory inventory grows

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bQ5SRHo
via Yuichun

ESA selects Airbus Stevenage to build Earth Explorer FORUM satellite

The European Space Agency (ESA) has awarded a contract to Airbus in the UK to build its Earth Explorer FORUM satellite, due to launch in 2027. The deal is worth €160 million. Airbus in Stevenage will lead the development of the satellite, with Airbus in Germany responsible for the Instrument signal detection chain, and Airbus ...

This story continues at ESA selects Airbus Stevenage to build Earth Explorer FORUM satellite

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oV1zapy
via Yuichun

2022年6月29日 星期三

EW BrightSparks 2022 profile: Simon Betts

Now in its fifth year of awards, EW BrightSparks sees Electronics Weekly partner with RS Grass Roots to highlight the brightest and most talented young engineers in the UK today. Here, in our series on the latest EW BrightSparks of 2022, we highlight Simon Betts, a MEng Electrical and Electronic Engineering student at Cardiff University. Achievement ...

This story continues at EW BrightSparks 2022 profile: Simon Betts

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/M6gVSki
via Yuichun

WiFi 6e published

The Wireless Broadband Alliance (WBA) today published “Wi-Fi 6/6E for Industrial IoT. The paper can be downloaded here:  “Wi-Fi 6/6E for Industrial IoT: Enabling Wi-Fi Determinism in an IoT World.” Produced by the WBA’s Wi-Fi 6/6E for IIOT work group, led by Cisco, Deutsche Telekom and Intel the white paper provides an overview of Wi-Fi 6 ...

This story continues at WiFi 6e published

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0wziF9G
via Yuichun

Foundry capacity to grow 14% in 2022

Foundry capacity will increase by 14% in 2022, says TrendForce. 8-inch capacity will grow  6% annually, while 12-inch capacity will grow 18% annually. About 65% of new 12-inch capacity will be in mature processes (28nm and above) with an annual growth rate of 20%. Most foundries will focus on 12-inch wafer production capacity, with the ...

This story continues at Foundry capacity to grow 14% in 2022

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/s1C9zoy
via Yuichun

Weebit Nano tapes out demo chip at Skywater

Weebit Nano has taped out demonstration chips integrating its ReRAM module at SkyWater. This is the first tape-out of Weebit’s ReRAM technology to a production fab and is a major milestone toward commercialisation. The technology will be available on SkyWater’s 130nm CMOS process, which is suitable  for applications such as analogue, power management, automotive, IoT ...

This story continues at Weebit Nano tapes out demo chip at Skywater

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hqAotRO
via Yuichun

2022年6月28日 星期二

Intel integrates eight-wavelength DFB laser array on CMOS wafer

Intel Labs has demo-ed an eight-wavelength DFB laser array integrated on a silicon wafer which delivers output power uniformity of +/- 0.25 decibel (dB) and wavelength spacing uniformity of ±6.5%. This enables the production of the optical source with the required performance for future high-volume applications, such as co-packaged optics and optical compute interconnect for emerging network-intensive workloads including ...

This story continues at Intel integrates eight-wavelength DFB laser array on CMOS wafer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WqRCjy3
via Yuichun

SiFive opens Cambridge R&D centre

SiFive has opened a  UK R&D centre in Cambridge. The company plans to hire over 100 people. “As part of our global expansion, we’re proud to open our UK R&D Centre in Cambridge to access the considerable local technical talent, especially CPU experts,” said Patrick Little, CEO and Chairman, SiFive, “with long-term plans to grow talent ...

This story continues at SiFive opens Cambridge R&D centre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/EOTVlcu
via Yuichun

Leonardo goes live with UK digital electronics factories

Leonardo is highlighting a ‘common data environment’ has gone live at its electronics sites across the UK in Edinburgh, Luton, Basildon and Southampton. It promises a more data-driven approach to design and manufacturing, says the company. The goal is to speed up development and cut costs for engineers researching and building technology such as radars ...

This story continues at Leonardo goes live with UK digital electronics factories

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ckqDpjd
via Yuichun

EW BrightSparks 2022 profile: Sergio Miguez Aparicio

Now in its fifth year of awards, EW BrightSparks sees Electronics Weekly partner with RS Grass Roots to highlight the brightest and most talented young engineers in the UK today. Here, in our series on the latest EW BrightSparks of 2022, we highlight Sergio Miguez Aparicio, a MEng Hons Electronics and Computer Science Student, University ...

This story continues at EW BrightSparks 2022 profile: Sergio Miguez Aparicio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/W40QwTf
via Yuichun

Guerrilla sampling InGa ¼W linear PAs

Guerrilla RF is  sampling the GRF5526 and GRF5536 ¼ W linear power amplifiers. These InGaP HBT amplifiers were designed for 5G wireless infrastructure applications requiring exceptional native linearity over large 100MHz bandwidths and temperature extremes of -40°C to 85°C. Spanning frequency ranges of 2.5–2.7GHz and 3.3-4.2GHz respectively, the GRF5526 and GRF5536 are tuned to operate within the ...

This story continues at Guerrilla sampling InGa ¼W linear PAs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RALw9tv
via Yuichun

Toshiba appoints activist shareholders

Toshiba has appointed two directors from activist hedge funds to its board possibly paving the way to a sale of the company to private equity. The two directors are:  Nabeel Bhanji, a senior portfolio manager at Elliott Management, and Eijiro Imai, managing director at Farallon Capital Management. @One of the major issues that we’ve had ...

This story continues at Toshiba appoints activist shareholders

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/eCrHZ5i
via Yuichun

GlobalWafers to build $5bn plant in Texas

GlobalWafers, the wafer supplier, is to build a $5 billion plant in Sherman, Texas. Construction is scheduled to start this year with production beginning in 2025. “With the global chips shortage and ongoing geopolitical concerns, GlobalWafers is taking this opportunity to address the United States semiconductor supply chain resiliency issue by building an advanced node, ...

This story continues at GlobalWafers to build $5bn plant in Texas

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/esdf9E2
via Yuichun

LoRa Cloud Locator service

 Semtech has announced its SaaS chip-to-Cloud service, LoRa Cloud Locator, that uses Semtech’s LoRa Cloud Modem & Geolocation services. The service has built-in serverless technology and delivers an end-to-end experience for customers to evaluate LoRa Edge implemented in various ecosystem trackers, either on a private or public LoRaWAN network. LoRa Cloud Locator is designed specifically ...

This story continues at LoRa Cloud Locator service

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/AYmLMGe
via Yuichun

CML launches 28GHz 5G MPA

CML Microcircuits has launched the CMX90A702 28 GHz 5G Medium Power Amplifier, (MPA). The latest product to be developed using CMLs SμRF RFIC/MMIC design capability, the chip targets 5G mmWave infrastructure applications, including repeaters, small cells, customer-premises equipment (CPE), passive antenna arrays and 5G backhaul applications. Operating in Frequency Range 2 (FR2) from 26.5 to ...

This story continues at CML launches 28GHz 5G MPA

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sJ3XFkY
via Yuichun

Ethernet controller for industrial applications

onsemi has announced a  10BASE-T1S Ethernet controller designed to provide reliable multi-point communication in industrial settings. The NCN26010 enables more than 40 nodes on a single twisted pair, exceeding five times the amount of nodes requested by the IEEE 802.3cg standard, to reduce installation cost and set-up complexity. For in-cabinet wiring, the NCN26010 reduces wiring ...

This story continues at Ethernet controller for industrial applications

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Z4Ui73J
via Yuichun

2022年6月27日 星期一

Renesas and Hailo hook up for ADAS

Hailo, the Israeli AI IP developer, is  collaboratiing with Renesas on ADAS chips. The combination of Hailo-8 processors, designed for Neural Network (NN) acceleration, with the Renesas R-Car V3H & R-Car V4H SoCs, powers ADAS ICs for zonal and centralised vehicular ECUs. The IPs are scalable for a wide range of ADAS functions from ADAS L2+ up ...

This story continues at Renesas and Hailo hook up for ADAS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/8e0IRhj
via Yuichun

Japan’s eight biggest chip companies need to hire 35,000 engineers in the next ten years

Japan’s eight biggest chip companies need to hire 35,000 engineers in the next ten years,says the  Japan Electronics and Information Technology Industries Association. “It is often said that semiconductors are lacking, but the biggest shortage is engineers,” Hideki Wakabayashi, professor at the Tokyo University of Science, who is the head of the policy proposal task ...

This story continues at Japan’s eight biggest chip companies need to hire 35,000 engineers in the next ten years

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/i9T0oK5
via Yuichun

The importance of a NoC

NoCs (Networks on Chip) are becoming  a challenge as the volume of the data flows around an IC increase. “The performance of the NoC must match that of the compute part of the SoC,” says Sondrel’s Ben Fletcher, “the NoC’s function is to supply the input data fast enough to keep the compute IPs on ...

This story continues at The importance of a NoC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KRxErFd
via Yuichun

Imec’s backside power delivery for logic

Imec has presented an experimental demonstration of a routing scheme for logic ICs with backside power delivery enabled through nano-through-silicon-vias (nTSVs) landing on buried power rails (BPRs). The BPRs connect to scaled FinFET devices whose performance was not impacted by backside wafer processing. The novel routing scheme with decoupled power and signal wiring acts as ...

This story continues at Imec’s backside power delivery for logic

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mfXMi2U
via Yuichun

ESA funds QuadSAT use of drones for satellite antenna testing

The Danish company QuadSAT – which uses drones for the test and measurement of satellite antennas – has received additional funding of €500,000 by the European Space Agency (ESA). Under the agency’s ARTES Core Competitiveness programme, the startup will develop, validate and certify its UAV testing system, to enable accurate satellite antenna testing and calibration. ...

This story continues at ESA funds QuadSAT use of drones for satellite antenna testing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2k4vPG5
via Yuichun

2022年6月26日 星期日

Pickering adds to microwave multiplexers to address 5G

Pickering Interfaces of Clacton-on-Sea has added to its 4x-785C range of SP4T & SP6T microwave multiplexers with the addition of 67 GHz terminated SP4T / SP6T modules to support the latest requirements of the 5G and semiconductor test sectors. The 40-785C (PXI) and 42-785C (PXIe) modules feature internal relay terminations that can improve signal integrity. Devices ...

This story continues at Pickering adds to microwave multiplexers to address 5G

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/QrJuliC
via Yuichun

2022年6月24日 星期五

Nanya breaks ground on new fab

Yesterday, Nanya broke ground on a new 10nm DRAM fab  in Taishan District, New Taipei. Taiwan President Tsai Ing-wen (pictured) attended the ceremony and described Nanya as a “sacred mountain that protects the country.” The fab will cost $10 billion and is scheduled to run first silicon in 2025. The fab will be constructed in three ...

This story continues at Nanya breaks ground on new fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/CqLUvTR
via Yuichun

Intel warns Congress about Chips Act

Intel cancelled the planned ground-breaking for its Ohio fab site yesterday and warned the US Congress that it may scale down its Ohio investment if the Chips Act isn’t passed before August. “We’re going slow and small or we’re going to go big and bold based on the Chips Act,” Intel CEO Pat Gelsinger (pictured) ...

This story continues at Intel warns Congress about Chips Act

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3NpH40Q
via Yuichun

Zap closes in on fusion

Zap Energy, the Seattle fusion startup, has created the superheated plasma required for fusion and has raised $160 million from backers including Bill Gates. Zap has a technology to confine and compress plasma called sheared-flow-stabilized Z pinch which is claimed to be simpler than other approaches. “Z pinch has long been an appealing way to achieve nuclear ...

This story continues at Zap closes in on fusion

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/S4Nucb7
via Yuichun

Yangtze Memory to bring new fab online

Yangtze Memory of Wuhan is hooking up equipment and expects to start running wafers at a second fab in the city by the end of this year, reports the Nikkei. A capex budget of $32.8 billion has been allocated for the year. Yangtze’s first fab was reported to have been running 100k wpm of NAND ...

This story continues at Yangtze Memory to bring new fab online

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/fW6GRpn
via Yuichun

2022年6月23日 星期四

Satellite Vu turns to Orbit Logic for satellite imaging schedules

Satellite Vu has announced it will be working with Orbit Logic’s collection planning software for the operation of its planned constellation of high resolution thermal imaging satellites. The UK company’s stated aim is to monitor the temperature of any building on the planet in near real-time using mid-wave infrared (MWIR) imaging. Specifically, following this agreement, ...

This story continues at Satellite Vu turns to Orbit Logic for satellite imaging schedules

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/uTEKXdW
via Yuichun

US Chips Act nears cut-off point

As Republicans and Democrats argue how to reconcile the two versions of the Chips Act which were separately passed by the Senate and House of Representatives, a cut-off moment aporoaches after which the bill could be lost. Congress breaks for its summer recess in August and, when it comes back, the US will be into ...

This story continues at US Chips Act nears cut-off point

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VZap6ti
via Yuichun

AWS sets up Quantum Networking Centre

AWS has set up a  Centre for Quantum Networking (CQN), focused on how to build a “scalable, commercial quantum network.” “To unlock the full potential of quantum devices, they need to be connected together into a quantum network, similar to the way today’s devices are connected via the internet,” says AWS, “despite not receiving the ...

This story continues at AWS sets up Quantum Networking Centre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WOVEPnF
via Yuichun

IQE signs long term wafer supply deal

IQE, the compound semiconductor wafer supplier, has signed a multi-year agreement with its long-term partner, photonics specialist Lumentum, for the supply of epiwafers supporting 3D Sensing, LiDAR for automotive and optical networking applications. Effective immediately, the multi-year agreement is focused on high volume production of epiwafers which will be used across Lumentum’s portfolio of  laser products, ...

This story continues at IQE signs long term wafer supply deal

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hqGDpER
via Yuichun

2022年6月22日 星期三

UK Gov competition seeks Generation-after-next Wearable Technologies

The UK’s Defence and Security Accelerator has launched a new competition, involving blood, sweat, tears, saliva: Generation-after-next Wearable Technologies. As the name suggests, the aim is to encourage and find “innovative wearable technologies that focus on biocompatible materials that access information present within an individual’s bodily fluids”. Body fluids Up to £750k of funding will ...

This story continues at UK Gov competition seeks Generation-after-next Wearable Technologies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RPro3wa
via Yuichun

2021 NOR market up 63%

Sales of NOR flash devices soared 63% last year to $2.9 billion, according to IC Insights’ 2Q Update to The McClean Report 2022. Although NOR represents only 4% of the flash market, NOR unit shipments increased 33% last year while the ASP jumped 23%.  The 2Q Update also forecasts the NOR flash market will rise ...

This story continues at 2021 NOR market up 63%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Vha8MpX
via Yuichun

Intelligence processor gets smarter

SiFive has  released the latest version of its SiFive Intelligence X280 processor, which introduces  new features including scalability up to a 16-core cache-coherent complex, WorldGuard trusted protection, and an interface allowing for integration between the X280 vector unit and customer-designed external AI accelerators or other coprocessors, called VCIX (Vector Coprocessor Interface eXtension). Collectively, these enhanced features deliver scalability, ...

This story continues at Intelligence processor gets smarter

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/meL3o6y
via Yuichun

Embedded World: Digi-Key Hub provides interactive simulation of engineer’s workshop

Dubbed an Immersive Innovation Hub Experience, Digi-Key Electronics has created an interactive simulation of a modern engineer’s workshop. Describing it as an “innovative web experience”, the Hub will showcase the distributor’s tools and technologies. Essentially, it is a way for customers to access the company’s resources, including products, videos, technical information, and calculators. Tools For ...

This story continues at Embedded World: Digi-Key Hub provides interactive simulation of engineer’s workshop

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Capf9zS
via Yuichun

2022年6月21日 星期二

ST claims new serial EEPROM class

ST claims to have developed a new class of serial EEPROM – a has Serial Page EEPROM – a 32Mbit, page-erasable memory. The architecture gives designers the ability to mix firmware management and flexible data storage in the same device. These devices are suitable for implementing all-in-one non-volatile memory in  system designs for applications such ...

This story continues at ST claims new serial EEPROM class

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/JvWTqSV
via Yuichun

Embedded World: No shortage of industrial Raspberry Pi MCUs

At Embedded World  today, Raspberry Pi was giving away its Pico development boards to all comers, to emphasise that there is no shortage of its RP2040 microcontroller, which was recently qualified for the industrial -40 to +85°C temperature range – previously it was to -20°C. “We risk-bought quite a lot of wafers, and could sell ...

This story continues at Embedded World: No shortage of industrial Raspberry Pi MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pRLsQhE
via Yuichun

Embedded World: Kontron’s D3723-R mini-ITX board runs AMD Ryzen R2000 Zen+

At Embedded World 2022, Kontron has announced the industrial motherboard D3723-R. In a mini-ITX form factor, it includes the new AMD Ryzen Embedded R2000-Series processor, with the “Zen+” microarchitecture. The board – with Radeon Vega Graphics – is suitable for versatile graphics and demanding applications, according to Kontron – compared to V/R1000 APUs (Accelerated Processing ...

This story continues at Embedded World: Kontron’s D3723-R mini-ITX board runs AMD Ryzen R2000 Zen+

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/dceNEDm
via Yuichun

Imec introduces the CFET

Imec has introduced the forksheet device architecture to extend the scalability of the nanosheet transistor family towards 1nm and beyond logic nodes. In a forksheet device, the effective channel widths can be made larger than in a conventional gate-all-around nanosheet device due to a reduced spacing between n- and p-type transistors. And this benefits the ...

This story continues at Imec introduces the CFET

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Jx3R7aI
via Yuichun

UK government invests £30m in space telescope mission

The UK Government has announced it will invest £30 million to help secure the UK’s role in developing a space telescope to explore exoplanets. According to the UK Space Agency, the Ariel mission is due to launch in 2029 and seeks to understand the links between a planet’s chemistry, its evolution and its host star, ...

This story continues at UK government invests £30m in space telescope mission

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0VjDTsp
via Yuichun

40v MOSFETS claim to cut on-resistance and switching loss

Claiming to cut both on-resistance and switching loss, while optimising body-diode properties, ST’s 40V MOSFETs, STL320N4LF8 and STL325N4LF8AG, aim to save energy and ensure low noise in circuits for power conversion, motor control, and power distribution. The  40V N-channel enhancement-mode MOSFETs leverage the latest-generation STPOWER STripFET F8 oxide-filled trench technology to achieve superior figures of ...

This story continues at 40v MOSFETS claim to cut on-resistance and switching loss

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/9bU2YZC
via Yuichun

2022年6月20日 星期一

Embedded World: RISC-V rattifies Efficient Trace and Supervisor Binary Interface

At Embedded World this morning, RISC-V International announced approval of its E-Trace (Efficient Trace for Risc-V) and SBI (RISC-V supervisor binary interface) specifications. E-Trace defines an approach to processor tracing that uses a branch trace, intended for debugging any size of application up to super computers. The documentation specifies the signals between the RISC-V core ...

This story continues at Embedded World: RISC-V rattifies Efficient Trace and Supervisor Binary Interface

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/elrIq1X
via Yuichun

Embedded World 2022: Get the full Electronics Weekly Guide

A roundup of all the latest electronics news from Embedded World 2019 in Nuremberg.

This story continues at Embedded World 2022: Get the full Electronics Weekly Guide

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vEILaYW
via Yuichun

The EW BrightSparks class of 2022 honoured at IET Savoy Place

The EW BrightSparks Awards, a partnership between Electronics Weekly and RS Grass Roots, held its celebratory award event at the headquarters of the IET in central London. The classes of both 2022 and 2020 received their awards, with the latter having seen their year’s event affected by the Covid-19 pandemic. Thirty three young engineers received ...

This story continues at The EW BrightSparks class of 2022 honoured at IET Savoy Place

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RmA2V0a
via Yuichun

Intel’s Catch-Up

According to Scotten Jones, writing in SemiWiki, Intel is on track in its effort to catch up with Samsung and TSMC in process technology and regain industry leadership. “If Intel continues on-track and releases Intel 3 next year they will have a foundry process that is competitive on density and possibly the leader on performance,” ...

This story continues at Intel’s Catch-Up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/A0Vq8Lx
via Yuichun

2022年6月19日 星期日

Power GaN market to hit $2bn in 2027

The power GaN market is expected to reach $2 billion in 2027, says Yole Developpement, with consumer products, including power supplies and Class D audio amplifiers, representing 48% of the total 2027 GAN market. 6” GaN-on-Si is still the mainstream process. At the device level, new players into the market are ROHM, which recently introduced ...

This story continues at Power GaN market to hit $2bn in 2027

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/fuRWmcs
via Yuichun

OneWeb, Stellar Blu test LEO-based inflight connectivity on Boeing 777

OneWeb has completed tests of delivering inflight connectivity on a Boeing test flight using its low earth orbit (LEO) network of satellites. The partly UK government-owned company was working with Stellar Blu, aviation terminal specialists, and also partnered with antenna specialists Ball Aerospace to incorporate its electronically steered arrays (ESA) into the terminal used. The ...

This story continues at OneWeb, Stellar Blu test LEO-based inflight connectivity on Boeing 777

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DvGg9WP
via Yuichun

Magnachip to mass manufacture 650V IGBT for solar inverters

Magnachip Semiconductor is about to start volume production of a 650V IGBT for solar inverters. In March 2022, Magnachip developed a 65 IGBT built with field stop trench technology for fast switching speed and high breakdown voltages and the company will begin mass production of it this month. This IGBT is designed to provide a minimum ...

This story continues at Magnachip to mass manufacture 650V IGBT for solar inverters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/nGK5diR
via Yuichun

Micsig oscilloscope from Saelig

Saelig has introduced the Micsig STO1004 four-channel battery-powered portable oscilloscope, which offers 100MHz bandwidth, 70Mpts memory, 1GSa/s sampling (single channel), 130,000wfm/s refresh rate, and a 7.5Ah Li battery for extended field use. Improvements over previous Micsig models include an intelligent bidirectional Universal Probe Interface for accommodating and powering smart probes such as those with differential ...

This story continues at Micsig oscilloscope from Saelig

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/y9lsm5L
via Yuichun

Rebrand streamlines RS identity as RS Group reveals “great” ambition

Mike England (pictured) has two missions – the first to inform customers and investors that the company formerly known by the parent brand Electrocomponents is now RS Group; the second is to raise the company’s ambition levels and “take it from an average-to-good company to a great company,” he said. The streamlined, all-inclusive name reflects ...

This story continues at Rebrand streamlines RS identity as RS Group reveals “great” ambition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ESxG74F
via Yuichun

2022年6月17日 星期五

Farnell adds to Toshiba stocking range

Farnell has expanded the range of  Toshiba devices it distributes. Toshiba products available  from Farnell will grow to 800 devices, increasing to more than 1000 items by 2023, while new products will also be introduced throughout 2022 and beyond. The enhanced portfolio will focus on Toshiba’s  range of opto couplers, opto (MOSFET) relays, low- and ...

This story continues at Farnell adds to Toshiba stocking range

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/fuZ7vhL
via Yuichun

Aerospacelab breaks ground on Charleroi satellite megafactory

Aerospacelab, the geospatial intelligence startup, is building what it describes as a satellite megafactory at Charleroi, with the goal of achieving a yearly production capacity of 500 satellites. What will be the largest satellite manufacturing plant in Europe follows the launch of its facility in Ottignies-Louvain-La-Neuve (which will eventually produce up to 24 satellites a ...

This story continues at Aerospacelab breaks ground on Charleroi satellite megafactory

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/HFPdhvL
via Yuichun

Hydrogen-Diesel piling machine

ULEMCo, the hydrogen fuel pioneer, Cementation Skanska and the building science centre Building Research Establishment (BRE), are working on a dual-fuel hydrogen and diesel piling machine. The project is being backed by Government funding from Phase 1 of the Red Diesel Replacement programme, part of the Net Zero Innovation Portfolio (NZIP) under the Department for ...

This story continues at Hydrogen-Diesel piling machine

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YZSVfiH
via Yuichun

GaN Systems adds a new transistor

GaN Systems, the Ottawa GaN power semiconductor specialist, has introduced a new transistor to its portfolio of GaN power transistors. The GS-065-018-2-L expands the company’s high-performance, low-cost transistor portfolio and features lower on-resistance, increased robustness and thermal performance, and an 850V VDS (transient) rating. The new addition empowers designers to improve further efficiency, thermal management, ...

This story continues at GaN Systems adds a new transistor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Dwcq4oQ
via Yuichun

2022年6月16日 星期四

Applied buys Picosun

Applied Materials has bought Picosun, a privately held semiconductor equipment company based in Espoo, Finland, which specialises in atomic layer deposition (ALD) technology, primarily for specialty semiconductors. Electronics are requiring greater numbers of specialty semiconductors built on non-leading-edge process nodes. Applied’s ICAPS (IoT, Communications, Automotive, Power and Sensors) group provides materials engineering solutions to customers ...

This story continues at Applied buys Picosun

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/76Gn2Oj
via Yuichun

LTE-M module connects to AWS cloud

Connection to Amazon Web Services is the job of u-blox’ SARA-R510AWS module, intended to provide a cloud link for asset tracking, smart farming, sensor monitoring and medical equipment. A variant of the globally certified SARA-R5 LTE-M cellular module, it “offers product developers a straightforward path to secure and scalable AWS cloud services”, said u-blox. “Thanks to a stripped-down ...

This story continues at LTE-M module connects to AWS cloud

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/S5pOt9b
via Yuichun

Renesas fabs 22nm STT-MRAM

Renesas has developed a 22nm process for embedded spin-transfer torque magnetoresistive random-access memory (STT-MRAM). The test chip includes a 32Mbit embedded MRAM memory cell array and achieves 5.9-nanosecond (ns) random read access at a maximum junction temperature of 150°C, and a write throughput of 5.8-megabyte-per-second (MB/s). MRAM fabricated in BEOL is advantageous compared to flash ...

This story continues at Renesas fabs 22nm STT-MRAM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Vn4QzYk
via Yuichun

Chip bosses write to Pelosi to speed up Chips Act.

The US chip industry is getting increasingly edgy about the hold-up in getting the Chips Act implemented. Although both Houses of Congress passed separate versions of the bill in February, wranglings continue over reconciling the different versions and over where the $52 billion should be allocated , while some Congressmen are having doubts about the ...

This story continues at Chip bosses write to Pelosi to speed up Chips Act.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VAdq7ik
via Yuichun

Tech hiring at 10-year high

UK tech job opportunities hit a 10-year high with  around 870,000 tech and digital job vacancies between January to May 2022, according to data from the job search engine Adzuna analysed by Tech Nation for the UK’s Digital Economy Council. Tech roles now make up 14% of all job opportunities in the UK, up from ...

This story continues at Tech hiring at 10-year high

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/R5I8NtV
via Yuichun

Top ten fabless grow 44% y-o-y in Q1

The top ten fabless chip companies saw Q1-revenues rise 44% y-o-y to $ 39.43 billion, says TrendForce. Qualcomm, NVIDIA, Broadcom were the top three. After the acquisition of Xilinx, AMD overtook MediaTek to take the fourth position. Will Semiconductor and Cirrus Logic entered the top ten for the first time. Qualcomm’s quarterly revenue reached $9.55 ...

This story continues at Top ten fabless grow 44% y-o-y in Q1

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4D6t3cz
via Yuichun

ATLAS Space Operations wins federated network contract for satellite comms

ATLAS Space Operations, a teleport operator, has won a contract with the U.S. Department of Defense (DoD) to demonstrate a unified federated network including space communications. Specifically, the Hybrid Space Architecture (HSA) contract was awarded by the Defense Innovation Unit within the DoD. It will see the company use its cloud-hosted Freedom Network Management Platform ...

This story continues at ATLAS Space Operations wins federated network contract for satellite comms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sFUJg6v
via Yuichun

2022年6月15日 星期三

MCU sales hit record $20bn in 2021

After falling 7% in 2019 because of a weak global economy and then dropping 2% in 2020 due to the Covid crisis, MCU sales rebounded with a 27% increase in 2021 to a record-high $20.2 billion, says IC Insights. The 2021 surge was the highest percentage growth in MCUs since 2000. The average selling price ...

This story continues at MCU sales hit record $20bn in 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/kf1Qg0H
via Yuichun

Passive components market for power converters to reach $11.5bn by 2027, says Yole

The overall passive components market for power converters will reach $11.5 billion by 2027, says Yole Developpement, with the gate driver IC market reaching $2.7 billion.  EV/HEV applications increasingly drive technology trends in passive components. Therefore, highly reliable passive components are needed. The gate driver IC market is dominated by level shifter-type gate drivers, followed ...

This story continues at Passive components market for power converters to reach $11.5bn by 2027, says Yole

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/u3dRqw1
via Yuichun

France signs Nasa’s Artemis Accords for space best practice

France is the latest country to sign the Artemis Accords, Nasa has announced. It’s signing up to an agreed set of principles for operating within the U.S. agency’s lunar exploration plans. The number of countries agreeing to the Artemis Accords now totals 20, with France becoming the fifth European Union country (alongside Italy, Luxembourg, Poland ...

This story continues at France signs Nasa’s Artemis Accords for space best practice

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mt4fE1R
via Yuichun

Schneider Electric launches sustainability awards

Schneider Electric has  launched of the  Schneider Electric Sustainability Impact Awards  as part of its Partnering for Sustainability Program. The Partnering for Sustainability programme includes  education and training, a simplified product portfolio, an open and collaborative support ecosystem and digital knowledge and expertise. By providing four easy steps for partners to follow to future proof their businesses for life, ...

This story continues at Schneider Electric launches sustainability awards

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pOaMWgn
via Yuichun

2022年6月14日 星期二

Transient suppressors accurately protect 24V lines

Vishay has introduced three series of 24V surface-mount transient voltage suppressors (TVS) that offer peak pulse power dissipation equivalent to the 7kW of conventional TVS at 10/1000μs in SMC (DO-214AB) packaging and 10/10,000 μs in DO-218AB, it said. The bidirectional devices work over -55 to +175°C for automotive, telecom and industrial applications. With 24V maximum ...

This story continues at Transient suppressors accurately protect 24V lines

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/23e0qzK
via Yuichun

Embedded World: NXP replaces LPC and Kinetis with new MCU family

NXP will be launching its new microcontroller portfolio at Embedded World next week, which will be replacing its LPC family and the (formerly Freescale) Kinetis family. Called MXC, “we have taken the best of LPC and Kinetis”, NXP product manager CK Phua told Electronics Weekly. MCUs will span from highly-secured high pin-count processors with 4Mbyte flash ...

This story continues at Embedded World: NXP replaces LPC and Kinetis with new MCU family

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Me0R9tu
via Yuichun

Elektra Awards celebrate 20 years: Meet the selection panel

This year, Electronics Weekly’s Elektra Awards celebrate 20 years of recognising excellence, innovation and expertise in the electronics industry. And today we interview one of the judges to highlight the role in the awards of the Elektra’s judging panel: taking his place on this year’s panel is James Hayman, award-winning electronics engineer at Taylor Hobson. ...

This story continues at Elektra Awards celebrate 20 years: Meet the selection panel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ogx907n
via Yuichun

Fab equipment spending to be up 20% this year

Front-end fab equipment spending is expected to increase 20% y-o-y to an all-time high of $109 billion in 2022, marking a third consecutive year of growth following a 42% surge in 2021, reports SEMI. Fab equipment investment in 2023 is expected to remain strong. “The global semiconductor equipment industry remains on track to cross the ...

This story continues at Fab equipment spending to be up 20% this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/OtcafPU
via Yuichun

TSMC May revenues up 65%

TSMC’s May revenue of $6.22 billion was 7.6% up on April and 65.3% up y-o-y. Revenue from January to May was $28.5 billion – up 44.9% y-o-y. TSMC May Revenue Report (Consolidated): (Unit: NT$ million) Period Net Revenues May 2022 185,705 April 2022 172,561 M-o-M Increase (Decrease) % 7.6 May 2021 112.360 Y-o-Y Increase (Decrease) ...

This story continues at TSMC May revenues up 65%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LeZlKPN
via Yuichun

2022年6月13日 星期一

Satellite vu strikes thermal satellite deal with property data business

The UK thermal infrared satellite firm Satellite vu has struck a deal, with land and property data specialists Landmark Information, to provide climate change data to the UK property market. Satellite Vu, which aims to monitor the thermal footprint of any building on the planet, has previously declared its aim “to become the thermometer of ...

This story continues at Satellite vu strikes thermal satellite deal with property data business

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/z2HmXsr
via Yuichun

Amphenol RF adds reverse polarity configurations

 Amphenol RF has expanded its  SMA connector series with additional reverse polarity (RP) configurations. RP-SMA’s are a variation of the traditional SMA interface which reverses the gender of the connector’s contact pin without affecting the electrical or mechanical performance. The RP-SMA options include plug and jack versions which are compact, lightweight connectors that feature a ...

This story continues at Amphenol RF adds reverse polarity configurations

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Cso1pey
via Yuichun

Secure microcontroller implements Digital Key 3.0

STMicroelectronics is promoting key-less car access with a design that supports the CCC (Car Connectivity Consortium) Digital Key 3.0 standard, developed with Giesecke+Devrient (G+D). The product, called STSAFE-VJ100-CCC, is a a pre-programmed secured microcontroller from the company’s ST33KxxxA family – also described simply as a ‘secure element’ – which has an Arm Cortex-M35P core that comes with ...

This story continues at Secure microcontroller implements Digital Key 3.0

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/rPb0i9K
via Yuichun

Embedded World: Arm Cortex-M85 coverage from IAR Embedded Workbench

IAR Systems has included Arm’s new Cortex-M85 core in its latest version of the Embedded Workbench tool chain – version 9.30. This is Arm’s highest performance Cortex-M processor, and it has just become available on Arm Virtual Hardware. “Thanks to our collaboration with Arm, we enable early technology adoption of the Cortex-M85 processor, bringing use ...

This story continues at Embedded World: Arm Cortex-M85 coverage from IAR Embedded Workbench

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/m1D6oic
via Yuichun

Toshiba and Japan Semiconductor develop auto analogue process

Toshiba and Japan Semiconductor have developed an analogue process with embedded non-volatile memory (eNVM) for automotive applications. The 0.13-micron process   applied to analogue ICs is claimed to offer an optimized combination of processes and devices, according to rated voltage, performance, reliability and cost, for automotive analogue circuits and eNVM on a single chip. Analogue ...

This story continues at Toshiba and Japan Semiconductor develop auto analogue process

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WSuwIPG
via Yuichun

UK VC funding second only to US

UK tech companies have raised more venture capital funding in the first five months of 2022 than in the whole of 2020. This puts the UK second to the US globally when it comes to startup investment and means that UK startups are outperforming those in China, France and India, when it comes to attracting ...

This story continues at UK VC funding second only to US

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/yrEk5Px
via Yuichun

April semi sales up 21.1% y-o-y

April 2022 semiconductor sales of $50.9 billion were 21-1% up on April 2021 sales of $42 billion, says the SIA. Sales were 0.7% up on the March total of $50.6 billion.. “Global semiconductor sales have increased by more than 20% on a year-to-year basis for 13 consecutive months, indicating consistently high and growing demand for ...

This story continues at April semi sales up 21.1% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LhTHlmw
via Yuichun

2022年6月12日 星期日

Comet Interceptor mission plans to 3D-map a comet

A UK-proposed space mission – to 3D-map a comet for the first time – has progressed towards reality, moving from the design phase towards. implementation. The Comet Interceptor mission was formally adopted by the European Space Agency (ESA) at a meeting in Madrid last week, with the next step to select a contractor to build ...

This story continues at Comet Interceptor mission plans to 3D-map a comet

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cRsipGV
via Yuichun

2022年6月10日 星期五

SkyWater adds FDSOI SRAM IP

Skywater, the US hi-rel foundry, has added FDSOI SRAM on 90nm to its IP portfolio. Mobile Semiconductor will provide two SRAM compilers for SkyWater customers developing products on its RH90 platform. This is the latest step in SkyWater’s RH90 technology roadmap. The company has leveraged the $170 million investment by the Department of Defense (DOD) ...

This story continues at SkyWater adds FDSOI SRAM IP

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4ESdt0A
via Yuichun

Trust for the IoT

Infineon has launched OPTIGA Trust M Express to enable hardware based security for IoT devices every step of the way from manufacturing, through cloud provisioning and field deployment. The cryptographic identity of OPTIGA Trust M Express is provisioned in a certified and secured Infineon fab. It is protected from exposure at any stage during the ...

This story continues at Trust for the IoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6YjXcmO
via Yuichun

Toshiba adds to mini-MOSFET gate drivers

Toshiba  has added five new MOSFET gate-driver ICs in the TCK42xG series, suitable for a wide range of applications including battery powered, consumer and industrial equipment. The devices in this series control the applied gate voltage independent of the input voltage and feature an over-voltage lockout function to protect the circuit. The new products are ...

This story continues at Toshiba adds to mini-MOSFET gate drivers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/McZ7mYI
via Yuichun

UK’s first satellite launch to serve CIRCE space weather monitoring mission

Dstl’s miniaturised space weather instrumentation suite will be among the satellites aboard the Virgin Orbit launch from Spaceport Cornwall in Newquay, which is due to happen later this year. The Defence Science and Technology Laboratory and UK Space Agency (UKSA) have confirmed they will be one of the payloads aboard Virgin Orbit’s Launcher One rocket, ...

This story continues at UK’s first satellite launch to serve CIRCE space weather monitoring mission

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/UOVW4Fj
via Yuichun

2022年6月9日 星期四

onsemi SoCs support PoE and KNXi

onsemi has introduced two systems chips supporting the most widely used building automation network protocols – PoE and KNX. Easing the development of access and control panels, the NCN5140S is the first System-in-Package (SiP) certified with the KNX Association. The NCN5140S integrates all critical and certifiable elements of a KNX device, including a digital KNX ...

This story continues at onsemi SoCs support PoE and KNXi

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vPheFto
via Yuichun

12bit version of 350MHz Siglent SD2000X scope

Siglent has created 12bit versions of its SDS2000X oscilloscope series, with 10.1inch touchscreen displays. SDS2000X HD, as the series is called, comes in bandwidths of 100, 200 or 350MHz (-3dB 50Ω), all with four analogue input channels. “If more bandwidth is required, a bandwidth upgrade option is available to expand two independent channels to 500MHz,” according ...

This story continues at 12bit version of 350MHz Siglent SD2000X scope

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3UWFfIL
via Yuichun

Intel to get German fab subsidies worth $7.3bn

Intel will receive $7.3 billion in subsidies representing 40% of the $19 billion cost of  building two fabs in Magdeburg, Germany. Construction is scheduled to start next year with first silicon planned for 2027. Intel is also building two fabs in Chandler, Arizona costing $20 billion and plans to build two fabs in Columbus, Ohio at ...

This story continues at Intel to get German fab subsidies worth $7.3bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/37WCx5O
via Yuichun

2022年6月8日 星期三

Trusted Objects joins Renesas Ready Partner Program

Trusted Objects, the embedded cybersecurity specialist,  has joined the Renesas Ready Partner Program  to deliver a simple and secure programming flow to OEM developers using Renesas Trusted Secure IP Driver (TSIP). MCU programming operations are often outsourced throughout the world in uncontrolled environments. Setting up the implementation of the full programming process and protecting data ...

This story continues at Trusted Objects joins Renesas Ready Partner Program

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/C0GtOJI
via Yuichun

Mass-manufacturable photonic sensors operating at the quantum limit

Researchers at the Universities of Bristol, Bath and Warwick have found a way to operate mass manufacturable photonic sensors at the quantum limit. This paves the way for practical applications such as monitoring greenhouse gases and cancer detection. Quantum sensing is poised to revolutionise today’s sensors, but the majority of quantum sensing schemes rely on ...

This story continues at Mass-manufacturable photonic sensors operating at the quantum limit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/grGYZJT
via Yuichun

Digi-key adds quote integration to myLists tool

The distributor Digi-Key Electronics has updated its myLists parts list management tool for users to include price quotes, to help with ordering efficiency. Basically, the tool helps buyers consolidate bills of materials (BOMs), price and availability lists, and quotes. And specifically, the new quote integration allows you to upload lists of up to 1,000 parts ...

This story continues at Digi-key adds quote integration to myLists tool

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/yLrdIox
via Yuichun

Murata-Pycom Device Cloud

Murata has integrated its Type 1DX for Wi-Fi, Type 1SJ for LoRaWAN and Type 1SC for cellular LTE Cat-M1/NB-IoT modules into Pycom’s products while building a module featuring all the 3 modules and mounting options for early prototyping and go to market. Pycom is delivering a Murata device management and application enablement platform – called ...

This story continues at Murata-Pycom Device Cloud

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/msa9NSK
via Yuichun

TSMC expects 30% revenue growth this year

TSMC is expecting revenues to grow around 30% this year, says chairman Mark Liu. Last year they grew 24.9%. “The current inflation has no direct impact on the semiconductor industry as the demand drop is mainly for consumer devices like smartphones and PCs while EV demand is very strong and partially exceeds our supply capacity ...

This story continues at TSMC expects 30% revenue growth this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/XMoWAhE
via Yuichun

TI reigns supreme in analogue

TI is keeping a firm grip on its position as the No.1 analogue player while the top ten players make up 68% of the sector’s revenues, reports IC Insights’ Q2 Update to its McLean Report. With its 2021 analogue sales up 29% on 2020 at $14.1 billion and holding  a 19% marketshare, TI firmly maintained ...

This story continues at TI reigns supreme in analogue

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/TR26xDI
via Yuichun

2021 LED package market worth $17bn

The LED package market grew 15.4% last year to reach $17.65 billion, says TrendForce. The top three manufacturers accounted for 29.5% of the market. Nichia’s backlight LED business revenue declined due to increasing OLED penetration. However, driven by the rapid growth of revenue in the flash LED, automotive LED, and general lighting markets, overall revenue ...

This story continues at 2021 LED package market worth $17bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/GO1elqR
via Yuichun

Nasa preps next-gen spacesuits for Extravehicular Activity Services

Nasa has chosen two companies to build its next-generation astronaut spacesuits: Axiom Space and Collins Aerospace. The spacesuits will have to support spacewalking in low-Earth orbit outside the ISS and also on the Moon as part of future Artemis missions. How much do the suits cost? Nasa’s Extravehicular Activity Services (xEVAS) contracts have a potential ...

This story continues at Nasa preps next-gen spacesuits for Extravehicular Activity Services

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zMsH5w9
via Yuichun

2022年6月7日 星期二

EPC 3-phase BLDC motor drive inverter

The EPC9173 GaN-based inverter reference design enhances motor system size, performance, range, precision, torque, all while simplifying design for faster time-to-market. The  size of this inverter allows integration into the motor housing. The 3-phase BLDC motor drive inverter uses the EPC23101 eGaN IC with embedded gate driver function and a floating power GaN FET with 3.3 ...

This story continues at EPC 3-phase BLDC motor drive inverter

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oWxNiFO
via Yuichun

Tektronix 500MHz scope is 38mm thick for field and bench

Tektronix has unveiled flat-profile 500MHz mixed-signal oscilloscopes intended to be used on the bench and in the field. Called ‘2 Series Mixed Signal Oscilloscope’, they maximise frontal area for display (10.1in touchscreen) and control by being only 38mm thick. Weight is 1.8kg and they “can go seamlessly from the bench to the field and back, ...

This story continues at Tektronix 500MHz scope is 38mm thick for field and bench

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KHp9M0Y
via Yuichun

Imagination Technologies recruits engineers in Manchester

Imagination Technologies has opened an office in Manchester, adding to existing sites in Cambridge, and Bristol, and its Kings Langley headquarters. “At the beginning of 2022 we set a recruitment goal to match our growth as a company, and to enable acceleration in new areas such as RISC-V CPU,” said Imagination CEO Simon Beresford-Wylie. “Manchester has ...

This story continues at Imagination Technologies recruits engineers in Manchester

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/UlfIqtz
via Yuichun

Photonics foundry has process with integrated lasers

Synopsys and Juniper Networks have formed a photonics foundry company called OpenLight. The foundry will use a process at Tower Semiconductor which integrates the lasers. The process has passed qualification and reliability tests on Tower’s PH18DA production process. OpenLight expects the first open Multi-Project Wafer (MPW) shuttle run on the PH18DA process as well as ...

This story continues at Photonics foundry has process with integrated lasers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lqIXMVi
via Yuichun

Q1 equipment billings up 5% y-o-y

Q1 semiconductor manufacturing  equipment billings grew 5% year-over-year to $24.7 billion, says SEMI. Quarter-over-quarter billings in the seasonally soft first quarter declined 10%. “Year-over-year first quarter equipment revenue growth is in sync with positive forecasts for 2022 as the semiconductor industry continues its robust increase of fab capacity,” says SEMI CEO Ajit Manocha, “North America ...

This story continues at Q1 equipment billings up 5% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/PuCE6Di
via Yuichun

Radio wave ranging sensors using the 60GHz band

Socionext has introduced the “SC1240 Series” radio-wave ranging sensors that use the 60GHz band with a built-in signal processing circuit for detecting the position and movement of a person with maximum accuracy. Sample shipments are scheduled for Q2 2022, and mass production in Q1 2023. The SC1240 series is a radio-wave ranging sensor that complies ...

This story continues at Radio wave ranging sensors using the 60GHz band

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sXnCMc7
via Yuichun

2022年6月6日 星期一

Sony launches laser-based Space Communications Corporation

Sony America, has officially formed a new company – Sony Space Communications Corporation (“SSC”) – to better enable space optical communications for micro satellites. The problem the company is addressing is that while the amount of data used in orbit is increasing every year (with ever more satellites in space) the amount of available radio ...

This story continues at Sony launches laser-based Space Communications Corporation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6AfhSFk
via Yuichun

TI adds Sitara processors

TI has introduced new Sitara AM62 processors that help expand edge AI processing applications. The low-power design of the new processors enables support for dual-screen displays and small-size human-machine interface (HMI) applications. For more information, see TI.com/product/AM625. The next generation of HMI will bring new ways of interacting with machines, such as enabling gesture recognition ...

This story continues at TI adds Sitara processors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RbTjHLg
via Yuichun

Fujitsu and NEC to begin 6G trials with NTT and NTT DoCoMo

Fujitsu and NEC are to conduct  trials with NTT and NTT DoCoMo towards the realisation of practical applications for 6G. In the joint trials, the partners will utilise radio waves in the high frequency range (sub-terahertz waves) of 100 GHz and 300 GHz, which represent promising candidates for use in 6G to realize a high-speed ...

This story continues at Fujitsu and NEC to begin 6G trials with NTT and NTT DoCoMo

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lEOqwxL
via Yuichun

Government enquiry into chip industry to hear witnesses tomorrow

The Business, Energy and Industrial Strategy (BEIS) Committee holds the first session of its new inquiry into the UK’s semiconductor industry at 10.15am on Tuesday June 7th. There are concerns that seniconductor shortages could contribute to price inflation.   MPs will question experts from three industry groups about the strengths and weaknesses of the UK’s semiconductor ...

This story continues at Government enquiry into chip industry to hear witnesses tomorrow

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/1I4sqHE
via Yuichun

EV power electronics system market to have 21% CAGR 2021-7

The total EV power electronic system market, made up of main inverters, DC/DC, and OBC, will grow to $26 billion by 2027, says Yole Developpement. Key components, such as power electronic devices and battery cells, will remain the critical issues for OEMs. A strong focus is being shifted to efficiency from a holistic view, to ...

This story continues at EV power electronics system market to have 21% CAGR 2021-7

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/caU94ji
via Yuichun

Stretchy fabric converts body’s vibrations into electrical energy

Scientists at Nanyang Technological University, Singapore (NTU Singapore) have developed a stretchable and waterproof ‘fabric’ that turns energy generated from body movements into electrical energy. A crucial component in the fabric is a polymer that, when pressed or squeezed, converts mechanical stress into electrical energy. It is also made with stretchable spandex as a base ...

This story continues at Stretchy fabric converts body’s vibrations into electrical energy

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ZAfUyFM
via Yuichun

2022年6月3日 星期五

Intel and CEA-Leti accelerate D2W bonding

Intel and CEA-Leti have optimised a hybrid direct-bonding, self-assembly process for D2W (Die-to-Wafer) bonding that has the potential to increase the alignment accuracy as well as fabrication throughput by several thousand dies per hour. The approach uses capillary forces of a water droplet to align dies on a target wafer.   While  the D2W hybrid bonding ...

This story continues at Intel and CEA-Leti accelerate D2W bonding

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/jZiCqSo
via Yuichun

AUTOSAR- compliant CDD software module for EV battery system designers

Renesas has introduced an AUTOSAR-compliant complex device driver (CDD) software module for designers of automotive battery management systems (BMS) in electric vehicles (EVs). The new software pairs with Renesas’ industry-leading ISL78714 Li-Ion battery management IC to speed design and optimize performance of next-generation systems. The CDD software is designed to work with Renesas’ Winning Combination ...

This story continues at AUTOSAR- compliant CDD software module for EV battery system designers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YJwd6Dp
via Yuichun

Q1 smartphone shipments down 12.8%

Q1 smartphone shipments were down 12.8% q-o-q at 310 million units, says TrendForce. For Q2, shipments are forecast at 309 million units The top five brands accounted for 78% of market in 1Q22. Samsung’s Q1 was 73.8 million units, ranking first in the world.  Since Samsung’s central production sites are located in Vietnam and India ...

This story continues at Q1 smartphone shipments down 12.8%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/q21DmAe
via Yuichun

2022年6月2日 星期四

Coventry University building skills hub for UK electrification

Coventry University has received £1 million in funding to support a skills hub for specialist training around the electrification of vehicles. Aiming to supporting the Power Electronics, Machines and Drives (PEMD) community, it will be a specialised “market space” in which different sectors and training providers can get involved, said the university. The project is ...

This story continues at Coventry University building skills hub for UK electrification

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KtYijqk
via Yuichun

Thermal camera market set for CAGR of 7.2% 2021-26

The thermal camera market will be worth about $8,761 million in 2026, with a CAGR2021-2026 of 7.2%, says Yole Developpement. The wider adoption of thermal cameras is now mainly a matter of marketing effort to convince the integrators and users of the benefits of thermal imaging, especially in the consumer and automotive markets (e.g., ADAS). ...

This story continues at Thermal camera market set for CAGR of 7.2% 2021-26

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Ik1DWKF
via Yuichun

Single chip LIN pre-driver for high power up to 2000W

Melexis has introduced the MLX81346, a single chip LIN pre-driver for high power up to 2000 W. The MLX81346 enables motor control miniaturization and efficient silent drive with field-oriented control (FOC). It addresses automotive mechatronic applications – including oil pumps, engine cooling fans, and BLDC positioning actuators. The device is also used in robotic systems ...

This story continues at Single chip LIN pre-driver for high power up to 2000W

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RmpXEQB
via Yuichun

Astroscale, OneWeb get funding for ELSA-M debris removal service

Astroscale, a Japanese startup focused on space debris removal, has received €14.8 million in funding to demonstrate its ELSA-M servicer. ELSA-M is scheduled to launch towards the end of 2024 to provide a debris removal service to satellite operators. And one such operator is OneWeb, which will participate in the mission. The UK and European ...

This story continues at Astroscale, OneWeb get funding for ELSA-M debris removal service

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7kah2WK
via Yuichun

2022年6月1日 星期三

3kW transient supression

Vishay Intertechnology has introduced a series of surface-mount bidirectional transient voltage suppressors in SMC (DO-214AB) packaging for automotive, industrial and telecom use. Surge capability is 3kW at 10/1,000μs to meet the specifications of ISO 16750-2 Pulse b. Called the SMC3KxxxCAHM3_A series, leakage current can be as low as 1μA from 22 to 120V, and operatioj is up ...

This story continues at 3kW transient supression

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/J6G3FNX
via Yuichun

Embedded World: 11th Gen Intel Core CPUs in COM Express Type 6 and 3.5inch SBCs

Seco has introduced a COM Express Type 6 Compact Module and a 3.5inch single board computer built around 11th Gen Intel Core processors – also known as Tiger Lake UP3. “11th Gen Core processors combine CPU/GPU engines with integrated artificial intelligence acceleration and deep learning capabilities in a low power processing platform, built on Intel ...

This story continues at Embedded World: 11th Gen Intel Core CPUs in COM Express Type 6 and 3.5inch SBCs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2hwkJNI
via Yuichun

Sumida improves 12.5mm inductor offering

Sumida’s CDRH12D78E/LD is a 12.5 x 12.8 x 8mm magnetically shielded power inductor family. The parts are “ideal for DC/DC converters such as a buck-boost, SEPIC [single-ended primary-inductor converter] and zeta for non-automotive applications”, according to distributor New Yorker Electronics, which is stocking the parts. “This new model is an upgrade to the CDRH127/LD,” it added. ...

This story continues at Sumida improves 12.5mm inductor offering

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/xdY4TzQ
via Yuichun

Chinese automotive part supplier Zhuhai Enpower Electric has picked IGBTs from Infineon for its latest traction inverter. “Enpower follows the technology route of designing motor control units with discrete components,” said Enpower R&D director Liu Hongxin. “Infineon’s discrete IGBTs are compatible with the last generation in terms of packaging. Together with the high current density, ...

This story continues at

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lI4afbU
via Yuichun

BT and Ericsson sign deal for private 5G deployments

BT and Ericsson have partnered  to provide commercial 5G private networks for the UK market. Ericsson Private 5G sells private networks suitable for environments such as factories, education campuses and other large sites where security and low latency connectivity are important. New  applications and IoT capabilities can be enabled such as asset tracking, predictive maintenance, ...

This story continues at BT and Ericsson sign deal for private 5G deployments

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ARM0hct
via Yuichun

Mobile to take 61% of gaming market this year

Mobile gaming will represent 61% of the overall gaming market this year, reports IHS. The mobile gaming  market is set to hit  $136 billion in 2022 — growing 1.7x faster than the gaming market overall. The overall gaming market is set to reach $222 billion this year. Globally, users downloaded 45% more mobile games per ...

This story continues at Mobile to take 61% of gaming market this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/FIyBLsq
via Yuichun

Socionext sampling SoC with HD-PLC4 core drawing 200mW

Socionext is sampling an SoC using an IEEE 1901-2020 compliant IP core licensed by Panasonic. The SC1320A HD-PLC communication device is scheduled volume production in Q3. https://ift.tt/TtXyC2B The High-Definition Power Line Communication (HD-PLC) is a wired communication technology that supports communication networks by superimposing high-frequency signals (2 ~ 28 MHz) over existing power lines, telephone lines, twisted-pair, coaxial cables, and ...

This story continues at Socionext sampling SoC with HD-PLC4 core drawing 200mW

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/NR0Espx
via Yuichun