2022年4月29日 星期五

Tact push button is five million cycle and IP40 rated

C&K has created long operation life versions of its 12 x 12mm PTS125 tactile switch range, in through-hole and surface-mount versions, with a new selection of plungers. “With the growing demand in long lasting instrumentation and machinery, the PTS125 has had to be improved to fit industry needs,” said C&K product manager Daisy Liu. “Flexibility ...

This story continues at Tact push button is five million cycle and IP40 rated

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/AQ8YH3u
via Yuichun

Downbeat forecast from Intel

Intel’s  $18.35 billion Q1 revenue beat expectations though down 7% y-o-y. Gross margin was 50.4% down from 55.2%. “We expect the industry will continue to see challenges until at least 2024 in areas like capacity and tool availability,”  Intel CEO Pat Gelsinger told the earnings call. PC chip revenue of $9.29 billion  was down 13% ...

This story continues at Downbeat forecast from Intel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pQ93DK7
via Yuichun

Bosch buys Arioso Systems

Bosch is buying Arioso Systems of Dresden,. “The planned acquisition of Arioso Systems further enlarges our sensing solutions expertise for consumer electronics in the field of micro speakers,”  explains Dr. Stefan Finkbeiner, CEO at Bosch Sensortec. Arioso Systems which emerged from the Fraunhofer IPMS and research activities at the BTU Cottbus-Senftenberg in 2019, is a ...

This story continues at Bosch buys Arioso Systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/J9XvDTK
via Yuichun

Rohm and Delta hook up for GaN development

 Rohm and  Delta Electronics, the power supply manufacturer, have entered into a strategic partnership to develop and mass produce GaN power devices. The aim is to develop 600V breakdown voltage GaN power devices optimised for a wide range of power supply systems. Rohm has already established a mass production system for 150V GaN HEMTsfeaturing an ...

This story continues at Rohm and Delta hook up for GaN development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/1qdXH6a
via Yuichun

2022年4月28日 星期四

Two-brained Lord appointed as UK Space Agency Chair

The UK Business Secretary Kwasi Kwarteng has appointed Lord David Willetts as Chair of the UK Space Agency’s Board. Willetts has previously served as Minister for Universities and Science, and held Chair and Board positions across the space and science sector. For example, he was Chair of the British Science Association, member of the Space ...

This story continues at Two-brained Lord appointed as UK Space Agency Chair

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/OpPa6mD
via Yuichun

Spectrum adds support for Nvidia Clara AGX

Spectrum Instrumentation has added driver support for the Nvidia Clara AGX computing architecture for AI medical instruments. This means that Spectrum’s digitiser boards (ADCs), arbitrary waveform generators (AWGs) and digital IO cards can be used with Clara AGX (right) for electronic signal acquisition and the generation of analogue and digital signals. “The Nvidia Clara AGX ...

This story continues at Spectrum adds support for Nvidia Clara AGX

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zbgQcWO
via Yuichun

Superconducting diode made reality

Unidirectional superconductivity without a magnetic fields is possible, according to the technical university of Delft. The proof-of-concept uses a van der Waals heterostructure with 2D materials: NbSe2 – Nb3Br8 – NbSe2, and is superconducting on one direction, and normally-conducting in the other. Compared with the semiconductor diode, “superconductors never had an equivalent of this one-way idea ...

This story continues at Superconducting diode made reality

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bxdpUlK
via Yuichun

Elektra Awards 2022 open for entries

The Elektra Awards 2022 have officially opened for entries with a mission to once again highlight and celebrate the brightest and best technical and business achievements in the UK and European electronics market. This year’s entry deadline is Thursday 14 July, giving time to gather the information and ideas to enter your company, your products ...

This story continues at Elektra Awards 2022 open for entries

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SluzQZG
via Yuichun

ESA’s Space Environment Report deems space usage unsustainable

The European Space Agency (ESA) has released its 2022 Space Debris Environment Report, an annual summary of the state of space. The report finds that humans’ behavior in space is improving but is still unsustainable in the long term. Specifically, while technology improves our ability to spot and track smaller fragments of space debris, not ...

This story continues at ESA’s Space Environment Report deems space usage unsustainable

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/P7byJ4K
via Yuichun

Spartan migration competition

element14 has announced the “7 Ways to Leave Your Spartan-6” FPGA competition to help teach element14 Community members about the migration from the Spartan-6 to the Spartan-7 FPGA amid current parts shortages. element14 community members will have time till July 7, 2022 to play along in the competition to win prizes including a Samsung Galaxy Book ...

This story continues at Spartan migration competition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/T4Og67I
via Yuichun

2022年4月27日 星期三

Toshiba adds to MCUs

Toshiba has started mass production of 21 40nm MCUs in the M3H group of  the TXZ+ Family Advanced Class. The M3H group includes an ARM Cortex-M3 core running at speeds up to 120MHz, integrated 512kB (max.) code flash, and 32kB data flash memory with 100k write cycle endurance as well as 64kB of RAM. The chips ...

This story continues at Toshiba adds to MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/H1fy7oa
via Yuichun

Smart current sensor links to PCs and PLCs

Danisense has launched a smart version of its residual current monitor. Called SRCMH070IB+, it has a USB connection to allow residual currents to be analysed in detail on a PC using Windows-based software. Oscilloscope and FFT frequency analysis is available (right). The product is complaint with the updated norm IEC62020-1. “This means that it can used for ...

This story continues at Smart current sensor links to PCs and PLCs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oBgj04P
via Yuichun

Agile Analog recruits for Edimburgh office

Cambridge-based analogue building block intellectual property company Agile Analog is to establish an engineering team in Edinburgh. “Our Edinburgh office will increase capabilities in analogue design, digital design, software and hardware to support increased customer activities and company growth,” said Agile chairman Pete Hutton (right). “Scotland is a worldwide centre of excellence in semiconductor engineering ...

This story continues at Agile Analog recruits for Edimburgh office

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/29AHeCT
via Yuichun

2022年4月26日 星期二

TI adds to glut fears

Following the fall in GPU prices which sent Nvidia and AMD shares tumbling, TI has now raised fears that analogue ICs could be headed the same way. TI announced that its Q2  revenues and profits will be below market analysts’ expectations. “TI’s second quarter outlook is for revenue in the range of $4.20 billion to ...

This story continues at TI adds to glut fears

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Mlhg7xq
via Yuichun

Semi consolidation sees top ten with 57% market share

The top ten 10 Companies now hold  57% semiconductor  global market share, says IC Insights. In 2021, five of the top 10 semiconductor companies were fabless suppliers.  In 2008, there was one fabless company in the ranking; in 2000, there were none, says IC Insights’ 2Q Update to The McClean Report 2022.  It presents an analysis of ...

This story continues at Semi consolidation sees top ten with 57% market share

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/tW3AQ7z
via Yuichun

Silicon Valley ‘kind of overrated’ says New York Governor

Wolfspeed  has opened a 200mm SiC fab in Mohawk Valley, Marcy, New York. “There’s a little place far, far away called Silicon Valley. You ever hear of that? Yeah, it’s kind of overrated. I want to be the first to welcome you to ‘Silicon Carbide Valley,’ because this is the future,” said New York Governor, ...

This story continues at Silicon Valley ‘kind of overrated’ says New York Governor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KC6ftAj
via Yuichun

SAR specialist Capella Space raises $97m Series C

Capella Space, a SAR (Synthetic Aperture Radar) satellite data specialist, has announced a $97m Series C financing round, which was led by NightDragon and existing investors DCVC and Cota Capital. Capella says it will use the funding to expand its analytics and integrate “intelligent data offerings” within its Capella Console. For example, recently Capella launched ...

This story continues at SAR specialist Capella Space raises $97m Series C

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5aSRW6A
via Yuichun

Digi-Key signs RFX

Digi-Key has signed a global distribution agreement with RFX Group and carry RFX’s range of precision low phase noise OCXOs and PLL Oscillators. A previous recipient of a ‘Queen’s Award for Innovation’, RFX’s products are used in a multitude of worldwide applications including GPS Satellite Navigation Systems, Satellite Positioning Systems, Mobile Telephone Base Stations, System ...

This story continues at Digi-Key signs RFX

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6Jx5ufb
via Yuichun

Space saving ac-dc converter brick by Cincon is available from Relec

Where fanless operation is required, the Cincon PDF700 series ac-dc modular brick can save space, with a baseplate-cooled package design. The converter is available from Relec Electronics. There are five full-sized brick converters in the range. Each offers 700W of output power and output voltages of 12, 24, 28, 48, 56Vdc derived from a universal, ...

This story continues at Space saving ac-dc converter brick by Cincon is available from Relec

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oPdFnle
via Yuichun

Arm adds to IoT portfolio

Arm has added to its Total Solutions for IoT portfolio by launching the Arm Cortex-M85 processor and by expanding Arm Virtual Hardware to more platforms, including third party  devices, to make the development process more accessible. Total Solutions is is based on Arm Corstone – a pre-integrated, pre-verified IP subsystem that freessilicon designers to focus ...

This story continues at Arm adds to IoT portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SV3uslP
via Yuichun

Electric vehicle per-cell battery management from Infineon

Infineon has introduced a chipset to implement its take on electric vehicle battery stack monitoring, using a combination of capacitive and transformer coupling to get cell charge-state data safely across the hundreds of volts present. There are two chips: TLE9012DQU, the Li-ion battery monitoring and balancing IC, which can handle up to 12 cells in series, ...

This story continues at Electric vehicle per-cell battery management from Infineon

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/XNqFPwW
via Yuichun

Imec ramps up High-NA patterning development

Imec has made significant progress in preparing the High-NA patterning ecosystem for the imec-ASML Joint High-NA Lab, which will be centred around the first 0.55NA extreme ultraviolet (EUV) lithography prototype tool. Advances are reported in developing patterning and etch processes, in screening new resist and underlayer materials, in improving metrology and in photomask technology. “Imec is ...

This story continues at Imec ramps up High-NA patterning development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/L8s3gxP
via Yuichun

Taiwan has 26% of world chip revenues

Taiwan had a 26% market share of semiconductor revenue in 2021, says TrendForce, second only to the US. The island’s IC design and packaging & testing industries account for a 27% and 20% global market share, ranking second and first in the world, respectively. Taiwan accounts for 64% of the foundry market with TSMC possessing ...

This story continues at Taiwan has 26% of world chip revenues

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aGtqD7e
via Yuichun

SiC market to be worth $6.3bn in 2027

The SiC device market will reach $6.3 billion in 2027, says Yole Developpement. The 809V EV is the answer to fast charging and, with more 800V EVs coming, SiC is expected to grow quickly.  The IDM business model is the one chosen by leading players to supply devices, especially power modules.  ST is the leading ...

This story continues at SiC market to be worth $6.3bn in 2027

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/dqeDPVX
via Yuichun

AFRL opens its Hack-A-Sat satellite hacking competition

The U.S. Air and Space Force has opened registration for its third annual Space Security Challenge: Hack-A-Sat 3. A satellite hacking competition with a chance to win one of ten $10,000 cash prizes. The idea is that the ethical challenge encourages security researchers to focus their skills on solving the cybersecurity challenges of space systems. ...

This story continues at AFRL opens its Hack-A-Sat satellite hacking competition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mi7BhVp
via Yuichun

2022年4月25日 星期一

2022 Queen’s Awards for Enterprise

The Queens Awards for Enterprise have been announce, and Electronics Weekly has taken a look through to find companies in electronics and related industry. Please forgive any omissions – hundreds of companies have won awards and, not all are described clearly – do comment below if you know of a company that has been missed ...

This story continues at 2022 Queen’s Awards for Enterprise

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/D0qfTKe
via Yuichun

low-jitter oscillators in 2 x 2.5mm

Diodes is claiming “the world’s smallest crystal oscillator family” for the 2 x 2.5mm UF252 series. These are LVPECL output devices which come in 2.5V and 3.3V supply versions all with low jitter to suit them to high performance equipment. Maximum (RMS) phase jitter can be as low as 0.07ps (3.3V, 156.25MHz, 12kHz-20MHz offset, 0.05ps typical) ...

This story continues at low-jitter oscillators in 2 x 2.5mm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KtbNC6F
via Yuichun

Intel scales up qubit fabrication

Researchers at Intel and QuTech – consisting of the Delft University of Technology (TU Delft) and the Netherlands Organization for Applied Scientific Research (TNO) –  have created silicon qubits at scale at Intel’s D1 manufacturing factory in Hillsboro, Oregon. The result is a process that can fabricate more than 10,000 arrays with several silicon-spin qubits ...

This story continues at Intel scales up qubit fabrication

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vrzTfSn
via Yuichun

NewSpace India rescues OneWeb satellite launches

OneWeb has agreed a satellite launch programme with NewSpace India Limited (NSIL) to help complete its low Earth orbit (LEO) constellation. The Satish Dhawan Space Centre (SDSC), located in Sriharikota and operated by Indian Space Research Organisation (ISRO), is expected to see the first launch OneWeb later this year. The site will serve as an alternative to ...

This story continues at NewSpace India rescues OneWeb satellite launches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/O6lR4Ui
via Yuichun

IEEE VLSI Symposium 2022 in Hawaii

The newly merged 2022 IEEE VLSI Symposium on Technology & Circuits is organized around the theme: “Technology & Circuits for the Critical Infrastructure of the Future.” The five-day hybrid event, combining both live sessions onsite at the Hilton Hawaiian Village, as well as on-demand access to selected presentations, is scheduled from June 12 – 17, ...

This story continues at IEEE VLSI Symposium 2022 in Hawaii

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/o9WuUCt
via Yuichun

Farnell launches Innovation Experts e-book

Farnell has launched ‘The Innovation Experts’ eBook which features interviews with experts on the future of instrumentation for test, measurement, and related technologies. The eBook, which is free to download, shares the views of leading global manufacturers on the future of instrumentation for test, measurement, and related technologies. The eBook provides unique insights for professional ...

This story continues at Farnell launches Innovation Experts e-book

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/btHmsAP
via Yuichun

2022年4月22日 星期五

Rare earth magnet uses less neodynium

Grade 42 commercial magnet performance (see graph) can be achieved with ~30% less neodymium than before, according to the Korea Institute of Materials Science. More of the less costly rare-earth cerium is the answer, but performing this substitution has been proving difficult. “In order to develop a neodymium-reduced permanent magnet, the content of cerium has ...

This story continues at Rare earth magnet uses less neodynium

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lP9zYsJ
via Yuichun

80dB PSRR LDO has switchable current limits: 1A or 500mA

Diode’s AP7368 is an LDO with a selectable maximum output current of either 500mA or 1A, “allowing designs to optimise for the required output loads and thereby mitigating thermal or protection issues. Current fold-back is included, to 60mA for low-current mode and 110mA for high-current mode, to alleviates thermal shut-down cycling during short-circuit fault conditions, and to ...

This story continues at 80dB PSRR LDO has switchable current limits: 1A or 500mA

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7QWZM8o
via Yuichun

US Congressmen protest about sale of Newport Wafer Fab

 Nine members of the US House of Representatives have protested about the sale of Newport Wafer Fab to the Chinese company Wingtech – the owner of Nexperia. “The UK is a valued ally of the United States, and we hope it will decide to change course,” the congressmen wrote in a letter to President Joe ...

This story continues at US Congressmen protest about sale of Newport Wafer Fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ci0dHGM
via Yuichun

Capacity to grow 8.7%this year but capacity utilisation will be 93%

Wafer capacity will grow 8.7% this year as 10 new fabs enter production, says IC Insights’ Update to the 2022 McLean Report, but strong unit growth will keep industry capacity utilisation at 93% in 2022. Over the past five years annual wafer start growth rates have ranged from -4.7% in 2019 to 19.0% growth in ...

This story continues at Capacity to grow 8.7%this year but capacity utilisation will be 93%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/WCtenfq
via Yuichun

VIAVI tester for Rakuten O-vRAN network

Rakuten, the virtualised O-vRAN network operator, will use the VIAVI TM500 Network Tester to validate inter-operability of  O-RAN network components. The principal objective is to maximize resolution of any issues in the lab in order to accelerate deployment at scale, and it has been designed to simulate a citywide network.  O-RAN is taking off with ...

This story continues at VIAVI tester for Rakuten O-vRAN network

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/R2DjNEc
via Yuichun

2022年4月21日 星期四

NaviMoon satnav receiver faces integration testing for farside of the Moon

A test version of a special satellite navigation receiver – to be carried on the Lunar Pathfinder craft being built by Surrey Satellite Technology Ltd to provide a commercial link between Earth and the Moon – has been delivered for integration testing, reports the European Space Agency. The NaviMoon satnav receiver is due to perform ...

This story continues at NaviMoon satnav receiver faces integration testing for farside of the Moon

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/koQRp9I
via Yuichun

Adapter board ensures security for IIoT

Communication with all established Fieldbus systems and industrial Ethernet networks for a secure industrial IoT is provided by the HMS Anybus adapter board from Rutronik System Solutions.   It enables connectivity with networks using secure communication standards such as OPC UA (Open Platform Communications Unified Architecture) and MQTT (message queuing telemetry transport) and has integrated ...

This story continues at Adapter board ensures security for IIoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4gRFqUZ
via Yuichun

No slackening in demand for litho tools

Demand for chip manufacturing equipment is showing no sign of weakening, says ASML CEO Peter Wennink. “We’re just looking at the data points, they just point to a market that is significantly short of semiconductor manufacturing capacity. This year and next year,” said Wennink yesterday on the company’s Q1 results call. “Currently we see no ...

This story continues at No slackening in demand for litho tools

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/AgWudx4
via Yuichun

Transmissive Metasurface redirects cellular signals

Kyocera has developed a Transmissive Metasurface technology that can redirect wireless network signals in a specific direction to improve the coverage area and performance of 5G and eventually 6G networks. The Transmissive Metasurface will help deliver high-frequency millimeter-wave 5G and 6G to places where communication is impossible due to obstacles, expanding service areas beyond the ...

This story continues at Transmissive Metasurface redirects cellular signals

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/eQg1Y9r
via Yuichun

Digi-Key hosts Fireside Chat on benefits of going digital

Digi-Key Electronics is hosting an informal live event with with MacroFab, entitled “Digital Solutions: A Live Fireside Chat”, on the benefits of using direct, digital communication (APIs, EDIs and Punchouts). The virtual event will feature speakers from Digi-Key and MacroFab who will discuss, for example, the benefits of using APIs to connect directly with electronic ...

This story continues at Digi-Key hosts Fireside Chat on benefits of going digital

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/foxajw1
via Yuichun

2022年4月20日 星期三

Renesas adds to clock buffers and multiplexers

Renesas is offering 11 new clock buffers and 4 new multiplexers. The devices, which also support and provide extra margin for PCIe Gen5 implementations. The PCIe Gen6 standard supports data rates of 64 GT/s while requiring  clock jitter performance of less than 100fs RMS. The RC190xx clock buffers and RC192xx multiplexers have PCIe Gen6 additive ...

This story continues at Renesas adds to clock buffers and multiplexers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/9GdWv8m
via Yuichun

NexWafe raises €39m

NexWafe, the Freiburg solar photovoltaic specialist, has had a Series C investment round which takes its total funding to  €39 million. The money will be used to complete product and technology development for NexWafe’s solar photovoltaic products on a prototype line. NexWafe is creating a process to develop and produce monocrystalline silicon wafers directly from inexpensive raw ...

This story continues at NexWafe raises €39m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/njD31yH
via Yuichun

Loch Fyne aquaculture R&D centre

Agri-EPI Centre and  aquaculture specialist Otter Ferry Seafish (OFS) with funding from Innovate UK, have opened the Marine Aquaculture and Innovation Centre (MAIC) on Loch Fyne to offer fully serviced research and development facilities to aquaculture producers and technology providers. The MAIC comprises a series of replicated small and large land-based tanks, located indoors under ...

This story continues at Loch Fyne aquaculture R&D centre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5BIZbdY
via Yuichun

2022年4月19日 星期二

Cadence fluid modelling software gets new flow solver

Following on from acquiring Numeca and Pointwise, Cadence Design Systems has introduced suite of computational fluid dynamics (CFD) software for vertical markets including automotive, turbomachinery, marine and aerospace. Called Fidelity, it “introduces a next-generation flow solver featuring high-order numerics, scale-resolving simulations and massive hardware acceleration”, according to Cadence. Included are specialised flow solvers for marine ...

This story continues at Cadence fluid modelling software gets new flow solver

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Yya92nz
via Yuichun

AMD ramps up security for Ryzen Pro 6000 laptop processors

AMD has released more information on its Ryzen 6000 Pro series of processors for laptops, with eight 6nm ‘Zen 3+’ cores and RDNA 2 graphics. Much of the announcement was around increased performance and longer battery life when running Microsoft office and videoconferencing software, but there was also significant emphasis on cybersecurity provisions. “We saw new ...

This story continues at AMD ramps up security for Ryzen Pro 6000 laptop processors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/g5C9jtl
via Yuichun

117dB audio amplifier uses Class-G output stage

STMicroelectronics is using Class-G analogue audio technology to create 117dB S/N ratio, >117dB dynamic range (A-weighted), automotive amplifier. Class-G amplifiers are similar to traditional Class-AB amplifiers except that, to increase electrical efficiency, the output devices are supplied from a low voltage rail when output signals are small, and a higher voltage rail during loud passages. In ...

This story continues at 117dB audio amplifier uses Class-G output stage

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/es7FVaY
via Yuichun

Advantest joins Open Invention Network for Linux cooperation

Automated test equipment maker Advantest has joined the Open Invention Network (OIN) patent non-aggression community. OIN’s community, according to the Network, agrees non-aggression in patents in core Linux and adjacent open source technologies by royalty-free cross-licensing of Linux patents to one another. Patents owned by the Network are similarly licensed royalty-free to any organisation that ...

This story continues at Advantest joins Open Invention Network for Linux cooperation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/tshLKO7
via Yuichun

Lifecycle security and Trustzone management for Renesas RA MCUs

Segger has tied up with Renesas to add DLM (device lifecycle management) and Trustzone partitioning during mass production for Renesas’ Arm Cortex-M33 based RA4 and RA6 microcontrollers. The new features are additions to Segger’s ‘Flasher’ line of in-circuit programmers, and are available to owners of existing Flashers as a software update with “no charge, no ...

This story continues at Lifecycle security and Trustzone management for Renesas RA MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sMaln2w
via Yuichun

China’s Q1 IC output down 4.1%

Q1 semiconductor production in China fell 4.1%, reports China’s National Bureau of Statistics. In March alone, production fell 5.1%. Production was hit by Covid-induced lock-downs, most recently in Shanghai. The Q1 fall was the largest since Q1 2019 when production fell 8.7%. According to the National Bureau of Statistics, IC output has been slowing since ...

This story continues at China’s Q1 IC output down 4.1%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/G1IEkYo
via Yuichun

India can only supply 9% of domestic IC market

India’s domestic semiconductor industry can only supply 9% of its $27 billion local market, says a report by the Indian Electronic and Semiconductors Association (IESA). The Indian semiconductor market is expected to have a 16% CAGR of from 2019 to 2026 to reach $64 billion in 2026, representing 22 per cent of the total end-equipment ...

This story continues at India can only supply 9% of domestic IC market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/V56UmiH
via Yuichun

2022年4月18日 星期一

Infineon shipping 2Mb rad hard FRAM

Infineon is shipping rad hard, SPI FRAM for extreme environments. The new devices  are more energy efficient than non-volatile EEPROM and serial NOR Flash devices for space applications. The addition of a QML-V qualified F-RAM to Infineon’s memory portfolio makes the benefits of nearly infinite endurance, instant non-volatile write technology, and over 100-year data retention ...

This story continues at Infineon shipping 2Mb rad hard FRAM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7GlT41r
via Yuichun

Russia targeting domestic 28nm process by 2030

Russia has drawn up a plan to spend $38.4 billion developing a domestic semiconductor industry, reports Cnews, with the initial target of having a 90nm process in place this year, and a long-term goal of having  a domestic 28nm process by 2030. The plan is due to be finalised and sent to the prime minister ...

This story continues at Russia targeting domestic 28nm process by 2030

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bCXa5Aj
via Yuichun

ESA formally ends lunar cooperation with Russia

The European Space Agency (ESA) has declared it will discontinue co-operation with Russia on its Moon terrain imaging Luna (-25, -26 and -27) missions. These now follow the previous announcement that the ExoMars mission, also due to launch this year, would no longer go ahead. The Luna-25 mission was due (August 2022) to image the ...

This story continues at ESA formally ends lunar cooperation with Russia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bRjKN8w
via Yuichun

Tiny 350V GaN transistor

 EPC is shipping the EPC2050, a 350 V GaN transistor with a maximum RDS(on) of 80 mΩ and a 26 A pulsed output current. The EPC2050 measures just 1.95 mm x 1.95 mm. This tiny size enables power solutions that occupy ten times less area than comparable silicon solutions. Applications benefiting from the fast-switching speed and ...

This story continues at Tiny 350V GaN transistor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/BQREIAm
via Yuichun

TT shipping UL-recognised fusible resistors

TT Electronics is shipping its UL-recognised, fusible resistor family – the WHS-UL Series. Developed for inrush control applications, such as power supplies, UPS, energy metering, and motor drives, TT’s WHS-UL resistors offer enhanced surge and pulse energy withstand capability. “We are supporting our customers in the rapid achievement of their EMC and safety testing goals with ...

This story continues at TT shipping UL-recognised fusible resistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cgLxDyk
via Yuichun

2022年4月15日 星期五

TSMC sees equipment supply slow-down

TSMC is experiencing an equipment supply crunch as lead-times for manufacturing tools grow.  “We have been seeing this kind of tool delivery problem unexpectedly from the beginning of this year,” said  TSMC CEO C.C. Wei on earnings call. “So far 2022 has no problem, and we are working on 2023 and beyond.”  “We have increased ...

This story continues at TSMC sees equipment supply slow-down

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KNui1pF
via Yuichun

Semi sales hit $595bn.

Worldwide semiconductor revenue totaled $595 billion in 2021, an increase of 26.3% from 2020, says Gartner. “The events behind the current chip shortage continue to impact OEMs around the world, but the 5G smartphone ramp up and a combination of strong demand and logistics/raw material price increases drove semiconductor average selling prices (ASPs) higher, contributing to ...

This story continues at Semi sales hit $595bn.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5rHTM9C
via Yuichun

Post-pandemic PC sales drop

After the pandemic-driven pC sales boom, things are returning to normal, says IDC. Global shipments of traditional PCs, including desktops, notebooks, and workstations, declined 5.1% in Q1. The PC market is coming off two years of double-digit growth, so while the first quarter decline is a change in this momentum, it doesn’t mean the industry ...

This story continues at Post-pandemic PC sales drop

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/tnI6PZU
via Yuichun

UKSA measures size and health of UK space industry

The UK Space Agency (UKSA) has produced its annual report to take the vital signs of the UK Space industry – Size and Health of the UK Space Industry 2021 The update – which is affected by the Covid-19 pandemic – suggests an increase in 3,000 jobs, with employment in the UK space sector reaching 46,995 ...

This story continues at UKSA measures size and health of UK space industry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/J1o3mW5
via Yuichun

2022年4月14日 星期四

Webinar to explore data connectors

A webinar hosted by distributor Digi-Key Electronics in collaboration with Phoenix Contact will explore data connectors’ design and choices. The webinar “Connect. Network. Evolve. Phoenix Contact’s Data Connectors” will be presented by senior marketing specialist, Guadalupe Chalas. The webinar will cover reliable device connectivity for high-performance data transmission using different devices. It is designed to ...

This story continues at Webinar to explore data connectors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7v5lpXW
via Yuichun

IC unit growth returns to normal

IC unit growth returned to the long-term growth rate after an enormous 22% surge in 2021, reports IC Insights. IC Insights’ May 2Q Update to The McClean Report 2022 forecasts that worldwide IC unit shipments will increase 9.2% this year to 427.7 billion units and resume tracking with the long-term IC unit CAGR of 9.4%. The ...

This story continues at IC unit growth returns to normal

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hvE19mI
via Yuichun

Synopsys under investigation for China sales

Synopsys is under investigation by the US Department of Commerce for supplying EDA software to Huawei and SMIC, reports Bloomberg. The DoC’s Entity List  bars US companies from selling technology to certain Chinese companies, among them Huawei and SMIC. In December Synopsys said it had received a subpoena from the DoC’s Bureau of Industry and Security ...

This story continues at Synopsys under investigation for China sales

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/FcD83fZ
via Yuichun

WiFi 6 to take 80% of smartphone market by 2025

By 2025, the market share of smartphones supporting Wi-Fi 6 and 6E is estimated by TrendForce to be over 80%. Wi-Fi 6E was commercialized in 2021 and, in addition to supporting the 5 GHz and 2.4 GHz bands, it can also operate in the 6 GHz band. TrendForce believes that the market share of Wi-Fi ...

This story continues at WiFi 6 to take 80% of smartphone market by 2025

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4wEK6lV
via Yuichun

2022年4月13日 星期三

Digi-Key, Phoenix Contact to host data connectors webinar

Working with with Phoenix Contact, connectivity specialists, Digi-Key Electronics is hosting a webinar covering device connectivity with high-performance data transmission. Running on Tuesday 26 April at 11 a.m. CDT, it is entitled “Connect. Network. Evolve. Phoenix Contact’s Data Connectors.” The webinar will consider connectivity for a range of applications within the device, inside the cabinet, ...

This story continues at Digi-Key, Phoenix Contact to host data connectors webinar

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/OfgeFZ8
via Yuichun

ADC driving DC-10GHz differential amplifier has digital control

ADL5580 is a SiGe dc to 10.0GHz differential-in differential-out gain block from Analog Devices, which has a digital bus for signal path tweaking. “The amplifier offers a referred-to-input noise spectral density of 2.24nV/√Hz at 1GHz and is optimised for distortion performance over a wide frequency range, making the device ideal for driving high speed 12bit ...

This story continues at ADC driving DC-10GHz differential amplifier has digital control

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/buKat35
via Yuichun

Intel six months ahead of schedule on 18A

Intel says it is six months ahead of schedule with its 18A process – the most advanced of the five process generations it is developing over four years. The process is now scheduled for H2 2024 – six months ahead of schedule. 18A will require ASML’s latest lithography tool the High Numerical Aperture (High NA) ...

This story continues at Intel six months ahead of schedule on 18A

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/MSoKaL2
via Yuichun

2021 equipment sales up 44%, says SEMI

2021 sales of semiconductor manufacturing equipment were up 44% at $102.6 billion on the 2020 sales total of $71.2 billion, reports SEMI. China was the largest market with sales growing 58% to $29.6 billion. Korea, the second-largest  market, had a sales increase of 55% to $25.0 billion. Taiwan, in third place, had 45% growth to $24.9 billion. Region ...

This story continues at 2021 equipment sales up 44%, says SEMI

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2pmK1Xd
via Yuichun

Applications open for Dialog-UKESF award for female undergraduates

Applications are now open for the Dialog Semiconductor Award for Female Undergraduates, a collaboration between Dialog and the UKESF (UK Electronics Skills Foundation). It is aimed at female students starting the first year of their electronics-related degree in September 20222. Those selected will receive a £1,500 bursary and can take advantage of a paid summer ...

This story continues at Applications open for Dialog-UKESF award for female undergraduates

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/r0qTPxi
via Yuichun

Schaffner adds to LED driver filters

Schaffner has added the ED100/ED101 EMC filter series for LED driver circuits. The new filter’s common-mode inductance is matched by a substantial differential-mode inductance. With only one component required on the PCB both types of  noise can be mitigated, saving cost and space while increasing reliability. LEDs are very sensitive to overcurrent and “driver circuits” keep the ...

This story continues at Schaffner adds to LED driver filters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/TAwsmto
via Yuichun

2022年4月12日 星期二

UK Circuits expands SMD production line

PCB contract electronic manufacturer UK Circuits and Electronics Solutions (UKC) has added a surface mount component counter and a screen printer to its production line in Manchester. “During the pandemic we experienced an unprecedented period of growth and built a strong order book through both existing and new business,” said MD Marc. “Given our high levels of ...

This story continues at UK Circuits expands SMD production line

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SnhK9sD
via Yuichun

Digital pots interface directly with quadrature encoders, push buttons and MCUs

LSI Computer Systems (LSI/CSI) has created a family of 63 tap (6bit) digital potentiometers for direct connection to quadrature decoders, push buttons, GPIOs or SPI serial controls. Debounce circuits are included where electromechanical switches are expected, although external pull-up resistors must be added. “The LSI/CSI digital potentiometers are used as replacements for mechanical potentiometers and for potentiometer ...

This story continues at Digital pots interface directly with quadrature encoders, push buttons and MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mwhEBQT
via Yuichun

200mm capacity to grow 21% 2020-4

Semiconductor manufacturers worldwide are on track to boost 200mm fab capacity by 1.2 million wafers, or 21%, from the start of 2020 to the end of 2024 to hit a record high of 6.9 million wafers per month, SEMI announced today in its 200mm Fab Outlook Report. After climbing to $5.3 billion last year, 200mm ...

This story continues at 200mm capacity to grow 21% 2020-4

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/k5DBrnq
via Yuichun

TSMC March revenue up 33.2% y-o-y

TSMC’s March revenue of  $5.92 billion was 17% up on February and 33.2% up y-o-y. Revenue for January through March 2022 of  $16.9 billion was 35.5% up y-o-y. TSMC March Revenue Report (Consolidated): (Unit: NT$ million) Period Net Revenues March 2022 171,967 February 2022 146,933 M-o-M Increase (Decrease) % 17.0 March 2021 129,127 Y-o-Y Increase ...

This story continues at TSMC March revenue up 33.2% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/MTjscSw
via Yuichun

Automotive lighting dominated by five players

The automotive lighting market is dominated by five big players, Koito, Marelli, Valeo, Hella (now Forvia), and Stanley, says Yole Developpement. These top 5 represented 66% of the market in 2021. The Asian market is dominated by Japanese companies, with Koito and Stanley having captured 44% of the market. In Europe, the automotive lighting industry ...

This story continues at Automotive lighting dominated by five players

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/qZHmuGO
via Yuichun

WES Annual Conference 2022 has a focus on innovation

The Women’s Engineering Society (WES) is holding its Annual Conference in virtual form this April, on Thursday 28 and Friday 29 April. This year the event and exhibition, which aims to showcase exceptional engineering stories, takes the theme of innovation. The organisers say the conference agenda will “celebrate stories of women engineers who’ve shaped the ...

This story continues at WES Annual Conference 2022 has a focus on innovation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Xd2Roya
via Yuichun

2022年4月11日 星期一

What needs to be done to make useful Li-CO2 batteries?

Lithium-carbon dioxide batteries have theoretical promise, including in aviation where the lightweight materials are desirable – it might might make good use of Mars’ 96% CO2 atmosphere, for example. Headline figures are a theoretical specific energy density of 1,876Wh/kg and a ~2.8V discharge potential, but in practical terms the technology has not even reached infancy. To build a solid ...

This story continues at What needs to be done to make useful Li-CO2 batteries?

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Oy0d9EF
via Yuichun

SMA to AMC micro-coax Wi-Fi 6 cable assemblies

Amphenol has introduced 1.13 and 1.32mm micro-coax SMA to AMC cables assemblies. “The configurations offer more efficient bandwidth sharing with excellent RF performance up to 9GHz,” accordingto the company. “The versatile nature of the SMA connector coupled with the small footprint AMC connector makes these assemblies ideal for IoT, antenna and Wi-Fi 6 applications.” The ...

This story continues at SMA to AMC micro-coax Wi-Fi 6 cable assemblies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/d5D2ObE
via Yuichun

ELSA-d space debris removal mission restarts with half the thrusters

Astroscale, a Japanese startup focused on space debris removal, has revealed its progress on re-starting its ELSA-d test mission to capture a client spacecraft in space. Having previously demonstrated a controlled “Test Capture”, the mission had moved on to an “Autonomous Capture” demonstration. Among the “anomalies” experienced, one issue couldn’t be resolved: four of the ...

This story continues at ELSA-d space debris removal mission restarts with half the thrusters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/c3hPiCH
via Yuichun

Nissan builds prototype solid-state battery factory

Nissan showed off its prototype factory for solid-state batteries at the weekend. The technology could reduce the cost of EVs to the same level as ICE cars, says Nissan The company says the latest batteries charge three times faster and offer twice the range of earlier models.  Nissan said it is working with NASA and ...

This story continues at Nissan builds prototype solid-state battery factory

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3t4NzJS
via Yuichun

IC shortages to last till 2024 says VW CFO

The chip shortage is unlikely to completely resolved until 2024, VW CFO Arno Antiz told Boersen-Zeitung at the weekend. “The structural undersupply will likely only resolve itself in 2024,” Antlitz said. Some bottlenecks will ease towards the end of this year, said Antiz, but production won’t return to 2019 levels until 2023. Consequently demand will ...

This story continues at IC shortages to last till 2024 says VW CFO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/7YsRQyt
via Yuichun

2022年4月10日 星期日

US DoD O-RAN Design Challenge

The US DoD is offering prize money for solutions to O-RAN inter-operability issues. A statement from the DoD reads: ‘The DoD, in collaboration with the National Telecommunications and Information Administration’s (NTIA) Institute for Telecommunication Sciences (ITS), announced today the launch of the 5G Challenge Preliminary Event: RAN Subsystem Interoperability.’ ‘The 5G Challenge aims to foster ...

This story continues at US DoD O-RAN Design Challenge

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/r5DYVsd
via Yuichun

2022年4月8日 星期五

Connector for 430A

Lemo is accommodating cables from 10mm2 (AWG 8) to 50mm2 (AWG 1) and currents up to 430A in high-power additions to its M-series of connectors. There are uni-pole and multi-pole parts (right) for for single three-phase requirements. “These configurations were developed for high power distribution of electronic devices and electric drive vehicles,” according to the company. ...

This story continues at Connector for 430A

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/UuGY7j3
via Yuichun

Littelfuse acquiring C&K Switches

Sun Capital Partners is selling C&K Switches to Chicago-based Littelfuse in a deal valued at $540m. “C&K and Littelfuse share a common vision, with both companies having almost 100 years’ experience in design and manufacture,” said C&K CEO Lars Brickenkamp (photo). “With many common customers, applications and focus markets, our two companies share market and cultural ...

This story continues at Littelfuse acquiring C&K Switches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Nea3sx
via Yuichun

Equipment lead times stretching out to 18 months and beyond

Semiconductor manufacturing equipment lead-times are stretching out, threatening the capacity expansion plans of device manufacturers, reports the Nikkei. Shortages which are affecting the build plans of equipment makers include lenses, valves,  pumps, MCUs, engineering plastics and electronic modules. Lead-times for parts and materials quoted by the Nikkei in months are: Valves, Pumps, Engineering Plastics – ...

This story continues at Equipment lead times stretching out to 18 months and beyond

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6ykMwT8
via Yuichun

Top-Heavy Capacity

At the end of 2021, 57% of the industry’s total monthly wafer capacity was owned by the top five companies, according to Knometa Research’s Global Wafer Capacity 2022 report. One year earlier the share was 56% and back in 2018 it was 53%. A decade ago, the share held by the top five was about ...

This story continues at Top-Heavy Capacity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/kXOgawL
via Yuichun

2022年4月7日 星期四

February chip sales up 32.4%

February chip sales of $52.5 billion  were up 3.4% on January’s $50.7 billion and up 32.4% on the $39.6 billion of February 2021, reports the SIA. “Global semiconductor sales remained strong in February, increasing by more than 20% for the eleventh consecutive month on a year-to-year basis,” says SIA CEO John Neuffer, “sales into the ...

This story continues at February chip sales up 32.4%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cj9M73B
via Yuichun

Spectrolab’s solar cells to power NASA’s Roman Space Telescope

Spectrolab has been selected to provide approximately 4,000 XTJ Prime solar cells for NASA’s Nancy Grace Roman Space Telescope. The Boeing company – which is based in Sylmar, California – will manufacture, integrate and test the cells under the terms of the agreement with NASA. They will provide power to both the telescope and the ...

This story continues at Spectrolab’s solar cells to power NASA’s Roman Space Telescope

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oy09C7V
via Yuichun

ADI’s new super-precision voltage reference challenges LTZ1000

Analog Devices has created an alternative to its venerable LTZ1000 untra-precision voltage reference, with better initial accuracy and less noise, although not every parameter is improved. Called ADR1000 (right), the nominally 6.62V part is a pin-compatible replacement for the LTZ1000 (below), and like it includes a buried Zener reference, a heater for temperature stabilization and ...

This story continues at ADI’s new super-precision voltage reference challenges LTZ1000

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/INjdGQO
via Yuichun

Energy harvesting controllers cold-start on 300mV

E-Peas has unveiled boost and buck energy harvesting controllers that can start up on a few hundred millivolts and provide regulated outputs as well as energy storage mediation. There are three parts: AEM00940: harvesting and storage manager with LDO Two regulated outputs Supercapacitor or battery storage Optional primary battery back-up source Boost harvester interface Harvest voltage ...

This story continues at Energy harvesting controllers cold-start on 300mV

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/oYUMB9x
via Yuichun

Intel, Micron, ADI form alliance

Intel, Micron, ADI and MITRE Engenuity have agreed to accelerate semiconductor research, development, and prototyping to build a more robust U.S. semiconductor industry. The engagement establishes a Semiconductor Alliance to propose the foundation for a whole-of-nation approach for a more innovation-focused U.S. semiconductor industry and supply chain. The Semiconductor Alliance, led by MITRE Engenuity, was ...

This story continues at Intel, Micron, ADI form alliance

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/jWqIPEk
via Yuichun

Packaging lead time 50+ weeks

Packaging lead times have risen to 50 weeks and more, says Sondrel. In the initial stages of the pandemic, packaging houses were badly hit by cancellation of orders and had to lay off staff or even close down, says Sondrel. As silicon production surges, they are struggling to cope with the result that the lead ...

This story continues at Packaging lead time 50+ weeks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/PDgwf8C
via Yuichun

Samsung profit up 50%

Samsung’s Q1 operating profit was up 50% at $11.6 billion on sales that were up 18% at $62 billion. Chips for servers and 5G  drove the profit. The DRAM ASP fell 4% and the NAND ASP fell 3% but the NAND ASP is expected to rise 5-10% in Q2 after the contamination issues at Kioxia. ...

This story continues at Samsung profit up 50%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/sfOkqSG
via Yuichun

2022年4月6日 星期三

Axiom Space preps for first private astronaut mission

Axiom Mission 1 (Ax-1) is due to launch tomorrow from Florida and represents the first fully private astronaut mission, for Axiom Space. The privately funded mission, to the International Space Station (ISS), is a fully commercial flight involving commercial spacecraft. And its ultimate aim is the construction of a private space station, Axiom Station, in ...

This story continues at Axiom Space preps for first private astronaut mission

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/lOMS9Di
via Yuichun

Sixteenth brick dc-dc delivers 100W down to 30Vin

Flex Power Modules has added to its PKU-D series of sixteenth-brick dc-dc converters with a module that will deliver a 12V 8.3A regulated output from 30V. Called PKU3913D, the 100W PSU is intended for nominal inputs of 48 or 54V with long cable lengths and consequent voltage drops – such as microwave radio links, said ...

This story continues at Sixteenth brick dc-dc delivers 100W down to 30Vin

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/gca167n
via Yuichun

Sponsored Content: Report Highlights Demand for Industry-led IoT Security Guidelines

Securing Our Connected Future is More Important Than Ever. The Internet of Things (IoT) and the data it collects are allowing us to work and live connected lives like never before. IoT devices are unlocking innovation that is digitizing all industries. While traditionally the rate of IoT security adoption has lagged behind the pace of ...

This story continues at Sponsored Content: Report Highlights Demand for Industry-led IoT Security Guidelines

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/CbzUFXm
via Yuichun

Chinese companies losing market share – now down to 4%

Chinese IC companies have only 4% world market share – down from 5% in 2020, says IC Insights, while US companies hold 54% world market share which is down 1% on the 55% share US companies held in 2020. The U.S. 54% share last year was propelled by a 47% share of IDM sales and ...

This story continues at Chinese companies losing market share – now down to 4%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/QzWOloq
via Yuichun

Lead times rise in March

Lead times rose two days to 26 days in March, reaching a new high, after lockdowns in China and an earthquake in Japan further hampered supply, reports Susquehanna.   While lead times continue to grow, they are growing much slower than in 2021. Lead times increased for most chip types, including power management, microcontrollers, analogue ...

This story continues at Lead times rise in March

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cZxJAzU
via Yuichun

BrainChip and SiFive hook up for edge AI

BrainChip and SiFive have combined to offer chip designers optimised AI/ML compute at the edge. BrainChip’s Akida is a neural networking processor architecture that brings AI to the edge. SiFive Intelligence solutions with their configurable multi-core, multi-cluster capable design, integrate software and hardware to accelerate AI/ML applications. The integration of BrainChip’s Akida technology and SiFive’s multi-core ...

This story continues at BrainChip and SiFive hook up for edge AI

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Bd30ons
via Yuichun

Lockheed Martin goes open-source for satellite on-orbit docking

Aimed at satellite manufacturers, Lockheed Martin has released an open-source interface standard to support on-orbit docking. Its Augmentation System Port Interface (ASPIN) is compliant with the Mission Augmentation Port (MAP) interface standard, which provides a mechanical interface design for docking spacecraft to one another. Details of ASPIN has been made available online. The aim is ...

This story continues at Lockheed Martin goes open-source for satellite on-orbit docking

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/6QoguXB
via Yuichun

2022年4月5日 星期二

Avnet Silica to sell Octavo Systems’ system-in-packages

Avnet Silica has signed a worldwide exclusive deal with Octavo Systems to handle Octavo’s Xilinx-based OSDZU3 module family. “System-in-package technology is an increasingly important tool in the field of electronic design,” said Avnet director Lucio Fornuto. “Access to Octavo’s solutions will enable our customers to take advantage of significant savings in the product development process, in their ...

This story continues at Avnet Silica to sell Octavo Systems’ system-in-packages

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hsymGTH
via Yuichun

RF GaN amplifiers span Q, V and E-bands

Altum RF has announced three GaAs pHEMT MMIC amplifiers for Q, V, and E-bands, using Win Semiconductors’ PP10-20 GaAs technology which is intended for use up to 170GHz and, compared with its earlier PP10-10 platform, “allows for a substantial increase in gain, with the same operating voltage for power applications”, according to Altum. They amplifiers ...

This story continues at RF GaN amplifiers span Q, V and E-bands

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3TF7H8X
via Yuichun

Yanmar buys Eleo

 Yanmar Holdings of Osaka has acquired a majority share in ELEO Technologies, the Dutch battery specialist. Pictured are Takayuki Onodera (Yanmar) and Bas Verkaik (ELEO) at the signing ceremony. By integrating ELEO’s scalable, and modular battery technology, Yanmar will further its electrified powertrain capabilities with versatile, customized solutions for off-road applications. Yanmar acquired a majority share ...

This story continues at Yanmar buys Eleo

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/xj6g7vk
via Yuichun

BAE’s phased-array iMOTR radar passes object tracking tests

BAE Systems says it has successfully completed prototype tests of its Multiple Object Tracking Radar (iMOTR). This is a mobile instrumentation radar that provides radar data on multiple airborne objects, be they low flying objects, sea skimming weapons or surface craft. The tests addressed performance parameters for range, transportability, accuracy, and beacon tracking, the company ...

This story continues at BAE’s phased-array iMOTR radar passes object tracking tests

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4fwi6CV
via Yuichun

PULS-Power adds to PIANO power supply range

PULS Power, the DIN-Rail power supply specialist, has extended its  PIANO series of power supplies. The growing PIANO product family now includes 17 different DIN-Rail power supplies designed to offer cost effective basic functionality, high reliability with output power ratings from 36W to 480W. The focus of the PIANO product family is on providing the ...

This story continues at PULS-Power adds to PIANO power supply range

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hVPzGcy
via Yuichun

2022年4月4日 星期一

WR112 X-band waveguide components

Smiths Interconnect has introduced a family of WR112 X-band waveguide components for satellite communications, commercial data links and deep space missions. They are: D35/833333 high-power circulator (pictured) C83327 high-power termination D35/83393 high-power termination C35/83387 medium-power termination D83359 2×2 hybrid coupler D83328 SMA test coupler C35/82970 high-power isolator C35/83394 high-power isolator D35/83391 CW high-power isolator D35/83391 CCW ...

This story continues at WR112 X-band waveguide components

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/eYtd7px
via Yuichun

JAC buys Tsinghua Unigroup

JAC Capital, a state-backed Chinese fund for investing in the semiconductor industry, has completed its takeover of Tsinghua Unigroup which owns Yangtze Memory. JAC should have completed the deal on March 31st but missed the payment of the final tranche of $9.4 billion needed to complete the purchase. JAC won an auction for Unigroup, besting ...

This story continues at JAC buys Tsinghua Unigroup

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/OqsN17p
via Yuichun

SPARK Microsystems gets CDN$7.1m funding

SPARK Microsystems, the Montreal UWB specialist, has received CDN$7.1 million (US$5.6 million) in funding from Sustainable Development Technology Canada (SDTC). Proceeds will be used to drive the development and commercialization of SPARK’s low power, low latency, high data rate wireless UWB transceivers for mobile applications across IoT sensing, gaming, audio, AR/VR/XR and metaverse-enabling technologies. SPARK claims that ...

This story continues at SPARK Microsystems gets CDN$7.1m funding

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pEFQVJa
via Yuichun

Chinese takeover of Newport Wafer Fab approved

The government has decided not to intervene in the takeover of Newport Wafer Fab by quietly approving the controversial sale of a Welsh microchip factory to a Chinese-owned firm. Nexperia, the Amsterdam-headquartered spin-off from NXP owned by Wingtech of Shanghai, took a 15% share in Newport Wafer Fab in 2019. Nexperia was a customer of ...

This story continues at Chinese takeover of Newport Wafer Fab approved

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hpzwHtx
via Yuichun

Toppan forms photomask jv and eyes an IPO

Toppan has spun off its photo mask operation into a jv with private equity company Integral Corporation. Against a backdrop of a global shortage of semiconductors, manufacturers are moving to bolster production capacity, which is in turn driving unprecedented demand for photomasks, essential components for semiconductor fabrication. Toppan entered the the photomask business in 1961 ...

This story continues at Toppan forms photomask jv and eyes an IPO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/PQNFLxE
via Yuichun

Digi-Key premieres Season 2 of City Digital video series

Digi-Key Electronics is releasing Season 2 of its City Digital video series, diving deeper into smart cities, and specifically the ways technology is transforming our homes. Each of the three videos – which are also sponsored by u-blox, Littelfuse and Taoglas – aim to feature the latest, most innovative smart home technologies, ranging from appliances to security ...

This story continues at Digi-Key premieres Season 2 of City Digital video series

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Nf2sc6v
via Yuichun

2022年4月1日 星期五

Micro:bit rides through brown-outs to teach energy harvesting

To teach children about programming processors that are powered by energy harvesting, Northwestern University engineers have made the Micro:bit educational computer power-aware and self-backup-ing. Three things are required: a modified version of Microsoft’s drag-and-drop MakeCode programming environment, an energy harvester such as a solar panel, and an SPI FRAM chip – the team is using Adafruit’s ‘SPI non-volatile ...

This story continues at Micro:bit rides through brown-outs to teach energy harvesting

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/l4X7juv
via Yuichun

Cyber attacks on businesses rising says NCSC

The government’s Cyber Security Breaches Survey 2022 report  shows cyber attacks are becoming more frequent with organisations reporting more breaches over the last 12 months Although two in five businesses use a managed IT provider  only 13% review the security risks posed by their immediate suppliers. Almost one in three businesses (31%) and a quarter (26%) ...

This story continues at Cyber attacks on businesses rising says NCSC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aFdz1Et
via Yuichun

SAR satellite specialist raises $100 million Series B

Synspective, a SAR (Synthetic Aperture Radar) satellite data specialist, has raised US $100m in a Series B funding round, which was led by Sompo Japan Insurance, Nomura SPARX Investment and Pavilion Capital Pte. According to the Japan-based company, it makes it among the top ten largest startups in Japan, putting its total funding value at ...

This story continues at SAR satellite specialist raises $100 million Series B

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/KlyC2oN
via Yuichun

Toshiba shipping low-loss 150V MOSFET

Toshiba has started shipping a new 150V N-channel power MOSFET that uses the latest generation U-MOSX-H process to significantly reduce losses. Additionally, voltage spikes between the drain and source during switching have been reduced, thereby improving EMI performance within switching power supplies. The new device is suitable for a wide range of applications including switching ...

This story continues at Toshiba shipping low-loss 150V MOSFET

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/yDiXJSA
via Yuichun

Authenticator verifies device identities

NXP has announced EdgeLock 5000 for authentication applications where security is crucial throughout the product lifecycle like many IoT use cases, smart city infrastructure and connected industrial equipment. Authentication  verifies device identities during secure communication, assessing whether data comes from trustworthy sources, checking authenticity of product sub-components to ensure overall systems integrity, product safety and ...

This story continues at Authenticator verifies device identities

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Au0gw9Z
via Yuichun