2022年5月31日 星期二

Astrocast buys Hiber to consolidate satellite IoT services

Astrocast, the satellite IoT service provider, is buying Hiber, a rival European Industrial IoT-as-a-Service provider. Under the terms of the deal Astrocast will acquire all of Hiber’s shares in exchange for the issuance of new Astrocast shares, representing 16.5% of Astrocast’s share capital. Hiber’s shareholders have also agreed to invest €10.45 million. Hiber’s services include ...

This story continues at Astrocast buys Hiber to consolidate satellite IoT services

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/EmkflIY
via Yuichun

Another step on the road to perovskite solar mass production

Large-areas of perovskite solar cell active layer can be stuck to glass using self-assembling organic mono-layers, according to scientists in China, who see it as a route to commercialisation of this promising photovoltaic technology. PTAA – poly[bis(4-phenyl)(2, 4, 6-trimethylphenyl)amine] – is a successful hole-transporting material for perovskite solar cells, but can only be applied using ...

This story continues at Another step on the road to perovskite solar mass production

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/huONGER
via Yuichun

Telonic adds three ‘A-lister’ instruments from Siglent Technologies

Three members of Siglent Technologies’ A-Line family are now available from Telonic. The first is the SNA5000A vector network analyser (VNA). Available as a two- or four-port device and with frequency ranges from 9kHz to 4.5GHz or 8.5GHz. It supports two- or four-port S-parameters and differential S-parameter measurements. There is also as time domain analysis ...

This story continues at Telonic adds three ‘A-lister’ instruments from Siglent Technologies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hx4Kplj
via Yuichun

A 3d printed stand for Raspberry Pi and an SSD

Having found it so easy to put 64bit Raspberry Pi OS onto an SSD drive, and then discovering that Raspberry Pi 4 is more nippy running from an SSD card (as everyone said it would be), the next step was to get a case could support both the drive and the Pi so that the ...

This story continues at A 3d printed stand for Raspberry Pi and an SSD

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/rchAl65
via Yuichun

Trusted Objects hooks up with Crypto Quantique

Trusted Objects, the cybersecurity specialist, has partnered with Crypto Quantique, the quantum-driven cyber security supplier, for deliver end-to-end security to customers designing networks of IoT devices. Trusted Objects’ software secure element, called to-protect, is a root-of-trust for generic microcontrollers (MCUs). Designed for use with IoT devices in which MCUs have limited computing and communication capabilities, ...

This story continues at Trusted Objects hooks up with Crypto Quantique

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/62GLArZ
via Yuichun

2022年5月30日 星期一

SEMI calls for swift adoption of EU Chips Act

SEMI Europe, the chip manufacturing equipment industry association, has  urged swift adoption of the European Chips Act. SEM has invited discussions on the legislation with the European Parliament, Member States and the European Commission. The Act aims to strengthen Europe’s competitiveness and resilience in semiconductor technologies and applications while enabling the region’s digital and green ...

This story continues at SEMI calls for swift adoption of EU Chips Act

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DWNxj6T
via Yuichun

Imec looks to reduce chip industry’s carbon footprint

Imec’s Sustainable Semiconductor Technologies and Systems (SSTS) research program is bringing together stakeholders of the semiconductor value chain, from large system companies such as Apple and Microsoft, to suppliers, including ASM, ASML, KURITA, SCREEN and Tokyo Electron. The program was set up last year as part of imec’s sustainability efforts to support the semiconductor industry reducing ...

This story continues at Imec looks to reduce chip industry’s carbon footprint

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/u9nGqBO
via Yuichun

LPWAN IC for IoT tags

Socionext is sampling the “SC1330A” IC for IoT tags to start in July with volume production planned for Q4. The device  was jointly developed by Socionext, ZiFiSense, founder of the ZETA standard for Low Power/Wide Area (LPWA), and Techsor, a board member of the ZETA Alliance in Japan. Mass production is scheduled for this December. The SC1330A is a low ...

This story continues at LPWAN IC for IoT tags

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ng0B4LT
via Yuichun

ESA funds UK’s Open Cosmos to monitor Earth’s magnetic field

The European Space Agency has awarded a €5.2m contract to the UK space company Open Cosmos to work on its NanoMagSat mission. NanoMagSat is a planned small satellite constellation aiming to use a novel suite of instruments to monitor the Earth magnetic field and the ionospheric environment. It will succeed the current ESA Swarm satellites ...

This story continues at ESA funds UK’s Open Cosmos to monitor Earth’s magnetic field

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/JVSrqoe
via Yuichun

p-type 2D transistors mean atomically-thin CMOS is not far away

Van der Waals metal contacts could be the key to CMOS made from thin-film 2D semiconductors, according to the Korea Institute of Science and Technology (KIST), which has made contacts from chlorine-doped tin diselenide. “It was difficult to implement complementary logic circuits with conventional two-dimensional semiconductor devices because they only exhibit the characteristics of either n-type ...

This story continues at p-type 2D transistors mean atomically-thin CMOS is not far away

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SrOlWHw
via Yuichun

Inquiry into UK semiconductor strategy

The Business, Energy and Industrial Strategy Committee has launched an inquiry that examines the strengths and weaknesses of the semiconductor industry and its supply chain in the UK and opportunities. Those interested in making a submission should go to:  Inquiry: The Semiconductor Industry in the UK Anyone interested in contributing can submit evidence answering any ...

This story continues at Inquiry into UK semiconductor strategy

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DksvrgU
via Yuichun

SiPearl joins Nvidia for euro processor development

SiPearl, the Euro-processor designer, has agreed to joint technical and business development with Nvidia. The companies will develop a proxy platform for porting activities and SVE workload analysis combining the strengths of SiPearl CPU (such as HBM memory) and NVIDIA GPU (including massive parallelism and throughput). The collaboration will include joint efforts with third-party European ...

This story continues at SiPearl joins Nvidia for euro processor development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vYfNg1F
via Yuichun

Digi-Key hosts Single-Pair Ethernet webinar for IIoT, industrial automation

Single-Pair Ethernet (SPE) is the subject of a webinar to be hosted by Digi-Key Electronics, in partnership with SparkFun, Würth Elektronik, Harting and Analog Devices. The title is “Remotely Monitor Equipment in a Challenging Environment with Single-Pair Ethernet” and the webinar will run on Wednesday, June 8, at 11 a.m. (CDT). It will cover SPE’s ...

This story continues at Digi-Key hosts Single-Pair Ethernet webinar for IIoT, industrial automation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VQOAIkj
via Yuichun

40V 1.1 mΩ 639A eGaN FET for DC-DC converters

The EPC 2066 is a 40V, 1.1 mΩ, 639A eGaN FET and a suitable switch for the secondary side of high power density 40 V – 60 V to 12 V DC-DC converters for the latest servers and artificial intelligence. It is also suitable  for the secondary side synchronous rectification to 12V in power supply ...

This story continues at 40V 1.1 mΩ 639A eGaN FET for DC-DC converters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/qNdb4ui
via Yuichun

2022年5月27日 星期五

Electrons mined for true random numbers

Scientists in India have created random numbers from a 2-d material heterostructure that pass US NIST (National Institute of standards and Technology) SP 800-90B and SP 800-22 testing. It is “an all-electronic van der Waals heterostructure-based device capable of detecting discrete charge fluctuations for extracting entropy from physical processes, according to the researchers in the ...

This story continues at Electrons mined for true random numbers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38mxT94
via Yuichun

DCMS asks for views on security

Views are being sought by the Department for Digital, Culture, Media and Sport (DCMS) to boost the security of UK data centres and cloud services. The eight-week call for views will run until 23:59 on Sunday 24 July. Views are being sought on tools currently used in other regulated sectors, such as having an incident ...

This story continues at DCMS asks for views on security

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ch6BUwv
via Yuichun

Robot crab the size of a flea

Researchers from Northwestern University have created a robot crab which can bend, twist, walk, turn, crawl and jump and is 0.02 inches wide. The robot was inspired by peekytoe crabs. ‘Robotics is an exciting field of research, and the development of microscale robots is a fun topic for academic exploration,’ says Professor John Rogers the ...

This story continues at Robot crab the size of a flea

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hpGJi0x
via Yuichun

Crypto-Quantique integrated into Microchip IoT tool

 Crypto Quantique, a specialist in quantum-driven cyber security for the internet of things (IoT), announces it has integrated its QuarkLink onboarding and device management technology for IoT devices into the Microchip Trust Platform Suite tool. Adopting QuarkLink means that customers own their Public Key Infrastructure (PKI) and can create a zero-trust security environment for their ...

This story continues at Crypto-Quantique integrated into Microchip IoT tool

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/s3AzubU
via Yuichun

2022年5月26日 星期四

Amazon chooses top startups for 2022 AWS Space Accelerator programme

Amazon Web Services (AWS) – the cloud computing wing of Amazon – has selected ten participants for its 2022 AWS Space Accelerator programme, chosen for their innovation towards the global space industry. The finalists, it says, range from those seeking to draw insights from the depths of the ocean floor to examinations of the surface ...

This story continues at Amazon chooses top startups for 2022 AWS Space Accelerator programme

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aQKky4C
via Yuichun

1-wire elliptic curve secure authenticator

DS28E30 is a one-wire connected secure authenticator from ADI, intended to help protect products from counterfeiting or misuse, while being simple to add. Each part is factory programmed with ADI public key certificate to guarantee authenticity. It includes a FIPS 186 elliptic curve digital signature algorithm (ECDSA), secure storage of keys and secure storage of ...

This story continues at 1-wire elliptic curve secure authenticator

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/et1gWUJ
via Yuichun

Power Integrations aims at 135W – 200W USB PC adapters

Power Integrations is aiming at 135W USB-C power delivery adapters using a zero-voltage switching fly-back converter IC and partner primary-side active-clamp IC. “The use of a non-complementary-mode active clamp enables designs that work in both continuous and discontinuous modes,” said company marketing manager Edward Ong”. “By operating across modes, it is much easier to support ...

This story continues at Power Integrations aims at 135W – 200W USB PC adapters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/dH5O9br
via Yuichun

New investigation into Newport Wafer Fab sale

Another investigation on national security grounds is to be held into the sale of Newport Wafer Fab to Nexperia which is a subsidiary of the Chinese company Wingtech. Kwasi Kwarteng, Secretary of State for Business, Energy, and Industrial Strategy announced the investigation via a tweet. “There will now be a full assessment under the new ...

This story continues at New investigation into Newport Wafer Fab sale

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/V4MHhio
via Yuichun

Q1 connector sales growth stalls but orders climb

UK connector sales growth slowed in Q1 of 2022 but orders accelerated, says ITSA. Headline performances: – Q1 2022 revenues decreased slightly by 7% over Q4 of 2021, however they are still up 9% as a run rate over 2021. Member’s revenues are back at +12% over pre pandemic levels Book to Bill is incredibly ...

This story continues at Q1 connector sales growth stalls but orders climb

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Igqm4bh
via Yuichun

Siemens Digital brings out Nucleus ReadyStart

  Siemens Digital Industries Software announced today availability of its leading Nucleus™ ReadyStart™ solution for embedded development targeting the fast-growing adoption of the RISC-V architecture. Building on one of the industry’s first commercial real-time operating systems (RTOSes) for RISC-V devices, released in 2021, Siemens’ newest Nucleus ReadyStart embedded development solution includes a host of new ...

This story continues at Siemens Digital brings out Nucleus ReadyStart

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ciaO8KY
via Yuichun

2022年5月25日 星期三

NMITE adds BEng Integrated Engineering degree course

NMITE, the UK’s new Higher Education Institution aimed specifically at engineering, has expanded its courses with a BEng (Hons.) Integrated Engineering degree. Based in Hereford, it had already welcomed its first students onto an MEng Integrated Engineering course in September 2021. The delivery of the BEng course will be similar to the MEng, says NMITE, ...

This story continues at NMITE adds BEng Integrated Engineering degree course

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ldOBD7u
via Yuichun

IP67 tactile switches

CUI Devices has announced a collection of IP67 rated waterproof tactile switches. They are: TS03 (left of picture) 6x6mm, 5-9.5mm actuator, 160 – 260g force, through-hole TS05 6×6 mm, 4.3-8mm actuator, 100-260g force, surface-mount TS08 (right of picture) 6x6mm, 4.3mm actuator, 160g force, surface-mount TS19 3x2mm, 0.6mm actuator, 70-240g force, surface-mount All are SPST (single-pole ...

This story continues at IP67 tactile switches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/BZWemoR
via Yuichun

JIC evaluating bid for Toshiba

The Japanese bail-out fund JIC (Japan Investment Corporation) is weighing up a bid for Toshiba, reports Bloomberg. JIC has signed a confidentiality undertaking to allow it to access Toshiba data to evaluate an offer. JIC, a public-private partnership, is majority owned by the Japanese government. Toshiba has had ten offers from buy-out funds to take ...

This story continues at JIC evaluating bid for Toshiba

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/XoMJlmN
via Yuichun

Big 3 have 94% of DRAM market

The top three suppliers held  94% of 2021 DRAM marketshare, with Samsung and SK Hynix accounting for 71% of DRAM sales, according to IC Insights’ May 2Q Update to The McClean Report 2022. Over the past 30 years, the DRAM market has been characterized by periods of spectacular growth and years of devastating crashes (Figure 1. Most ...

This story continues at Big 3 have 94% of DRAM market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Oj4WErb
via Yuichun

Mounting Memories

The memory market will grow to over $260 billion in 2027, says Yole Developpement, with DRAM reaching $158.5 billion and NAND reaching $96 billion. The production ramp-up of China-made memory is opening up new business opportunities for OSATs.  “The stand-alone memory market has been expanding throughout the last two years,” says Yole’s Simone Bertolazzi, “revenue ...

This story continues at Mounting Memories

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/t4TNJM7
via Yuichun

Imec driving electronic/photonic comms IC development

Researchers at imec are developing high-speed electronic and photonic integrated circuits for 100 to 130Gbaud transceivers, both for intensity-modulated direct-detect (IMDD) and for coherent optical transceivers. For a coherent transceiver, the specifications and functionality for the optics and electronics are much more demanding. The receiver DSP is also significantly more complex (compared to IMDD). Scheme ...

This story continues at Imec driving electronic/photonic comms IC development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Uf8ZKiv
via Yuichun

2022年5月24日 星期二

Skyrora completes 3D-printed rocket engine test in Midlothian

Edinburgh-based rocket company Skyrora has successfully completed a fully 3D-printed rocket engine test, marking a key milestone in its contract with the European Space Agency (ESA). Specifically, at its Gorebridge site in Midlothian, it completed a 70kN rocket engine test as part of ESA’s Commercial Space Transportation Services and Support Programme (CSTS). The company says ...

This story continues at Skyrora completes 3D-printed rocket engine test in Midlothian

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/utGoB2k
via Yuichun

Silent 300W PSUs for medical or industrial use

Vox Power has added more voltage options to its medical and industrial VCCS300 fan-less 300W power supplies. Standard outputs are now 12, 15, 24, 28, 36, 48 or 56Vdc, and custom versions between 12 and 58V can be arranged. The 54 x 101 x 40mm (4 x 2 x 1.61 inch) power supplies will run ...

This story continues at Silent 300W PSUs for medical or industrial use

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/OTlZVNH
via Yuichun

3D automated optical inspection down to 008004 (M0201) and up to 25mm tall

Saki Corporation has announced a series of high-speed 3D automated optical inspection systems for PCBs with a combination of very small and tall components. Called the 3Di series, its newly-developed camera system can simultaneously inspect parts in 008004 packages (metric 0201, ~200 x 100μm) and tall parts. The first 3Di machine will debut on 15-17 ...

This story continues at 3D automated optical inspection down to 008004 (M0201) and up to 25mm tall

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/VA0L3rM
via Yuichun

Embedded World: Renesas to demo Cortex-M85 – Arm’s latest MCU

Renesas will demonstrate Arm’s Cortex-M85 embedded processor at Embedded World in Nuremberg, expecting it to be the first public airing of the new core in a microcontroller. Aimed at IoT applications requiring artificial intelligence or machine learning, Cortex-M85 will be able to deliver over 6CoreMark/MHz, according to Renesas, and is built around Armv8.1m architecture with ...

This story continues at Embedded World: Renesas to demo Cortex-M85 – Arm’s latest MCU

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/S6huVsl
via Yuichun

Foundry revenues top $100bn

Foundry revenues topped $100 billion last year after a rise of 31%, says Gartner, with ASPs up 11.8% and units up 8%. The semiconductor industry was up 26% at $595 billion. Foundry fab utilisation was 95% with 8” particularly tight, driven by PMIC, display drivers and fingerprint sensors. TSMC saw its market share slip 3% ...

This story continues at Foundry revenues top $100bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Ct6c7ay
via Yuichun

EW Compare upgrades its component price comparison tool

Our part-search-and-price-comparison engine, dubbed EW Compare, has been updated to take advantage of new APIs by OEMSecrets, the company that powers the tool. Among the changes, which include a refreshed UI, parts are now grouped by part number and manufacturer. This can provide more useful output information for non-exact part number searches. There is also ...

This story continues at EW Compare upgrades its component price comparison tool

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DNszZ4K
via Yuichun

Quadric and MegaChips hook up

Quadric, the  processor IP licensor, and MegaChips of Japan are partnering to deliver ASICs and SoCs built on Quadric’s  edge AI processor architecture. MegaChips announced an equity stake in Quadric in January 2022 and is also a major investor in a $21M Series B funding round announced in March through their MegaChips LSI USA Corporation ...

This story continues at Quadric and MegaChips hook up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/fTuPHq9
via Yuichun

Scotland plans dress rehearsal for UK’s first vertical space launch

SaxaVord Spaceport – formerly known as the Shetland Space Centre – is planning a site-wide dress rehearsal for vertical space launches, culminating with a small rocket lifting off from the site in Unst, Shetland. Dubbed Operation Freya, it will take place in early July from a portable Asgard launch pad system. This will be built ...

This story continues at Scotland plans dress rehearsal for UK’s first vertical space launch

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ZKufmFc
via Yuichun

2022年5月23日 星期一

Common-mode filters with 10.7GHz differential bandwidth

New common-mode filters from ST have a differential bandwidth of 10.7GHz to protect antenna sensitivity in adjacent wireless circuitry from the latest serial digital interfaces. The 2-channel ECMF2-40A100N10 and 4-channel ECMF4-40A100N10 are compatible with high-speed interface standards including USB 3.2 Gen 2, USB4, HDMI 2.1, and DisplayPort. With a serial resistance of  3Ω, which minimizes ...

This story continues at Common-mode filters with 10.7GHz differential bandwidth

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/8PSDYoh
via Yuichun

Broadcom reported to be in talks with VMware

Broadcom is reported by Reuters to be talking to VMware about taking it over. Broadcom has been diversifying away from ICs in the last four years with an $18.9 billion takeover of CA Technologies and a $10.7 billion acquisition Symantec’s security division. VMware, which develops enterprise cloud and networking software, has a market cap of ...

This story continues at Broadcom reported to be in talks with VMware

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/jSA0Ofz
via Yuichun

Battery Challenge offers £25m

Today the Faraday Battery Challenge is launched offering up to £25 million for battery R&D particulsrly in automotive. Part of UK Research & Innovation (UKRI), the Faraday Battery Challenge the Faraday Battery Challenge will particularly  support technologies with the potential to enter the automotive market within the next decade Organisations seeking funding are required to ...

This story continues at Battery Challenge offers £25m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/havgRFY
via Yuichun

Imec solid-state Li battery spin-off raises €10m

SOLiTHOR , the Imec battery spin-off and part of EnergyVille the.  Brussels energy R&D hub, has raised €10 million to  develop, manufacture and commercialise osolid-state lithium (Li) battery cell technology to reliably and economically offer high-energy storage solutions. Lthe funding came in a seed investment round led by imec.xpand supported by an investment syndicate including LRM, Nuhma and ...

This story continues at Imec solid-state Li battery spin-off raises €10m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/TKXwvEA
via Yuichun

Inmarsat trials Orchestra maritime mesh for ship-to-ship connectivity

A trial has been using ships and land-based signal towers as nodes in a satellite-based maritime mesh network. The goal is to deliver additional capacity and relieve congestion for ship-to-shore and ship-to-ship connectivity. The initiative comes from Inmarsat for its Orchestra network, which brings together its geosynchronous (GEO) satellites with low earth orbit satellites (LEO) ...

This story continues at Inmarsat trials Orchestra maritime mesh for ship-to-ship connectivity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/BbAHNPG
via Yuichun

IEDM call for papers

The 68th IEEE International Electron Devices Meeting (IEDM) has announced its  2022 Call for Papers IEDM takes place as an in-person event at the Hilton San Francisco Union Square Hotel from December 3-7, 2022, with on-demand access to content available afterward. The paper-submission deadline is July 14, and the deadline for submission of late news ...

This story continues at IEDM call for papers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zaqoX4c
via Yuichun

2022年5月20日 星期五

5G small cell trial for City of London

The City of London is to get a small cell mobile communication pilot project, offering multi-operator 4G and 5G connectivity at street level. “If the pilot is successful, the ambition is for a City-wide deployment that will deliver faster mobile connectivity speeds across the Square Mile”, according to network service provider Freshwave, which will be working with ...

This story continues at 5G small cell trial for City of London

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pGB3zuE
via Yuichun

National instruments launches ADAS data gathering fleet

National Instruments has announced a fleet of vehicles for Europe, the US and China that will record data for autonomous driving research. The intention is to provide data for training, testing and validating perception algorithms and hardware. “ADAS data recording is one of the most complex challenges, as data from multiple sensor modalities – camera, ...

This story continues at National instruments launches ADAS data gathering fleet

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/NI9y6Yr
via Yuichun

Image sensor wafer capacity to grow 13% this year

Wafer capacity for image sensors was one million 200mm-equivalent wafers per month at the end of 2021 and is expected to grow 13% this year, according to Knometa Research’s Global Wafer Capacity 2022 By the end of 2026, installed capacity for image sensors is projected to be 1.8 million 200mm-equiv. wafers per month. That’s an average ...

This story continues at Image sensor wafer capacity to grow 13% this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zaSwBWT
via Yuichun

Infineon LED controller integrates MCU

Infineon has added to its LITIX LED controller portfolio with the dual-channel, stand-alone DC-DC controller TLD6098-2ES. The controller is the first product that can drive a full LED headlamp by itself without an additional microcontroller. It can also operate the four standard LED front light functions: High Beam (HB), Low Beam (LB), Daytime Running Lights ...

This story continues at Infineon LED controller integrates MCU

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ZRrNc6
via Yuichun

Euroconsult sizes $4.4bn Space Logistics market

Euroconsult, a Paris-based consultancy specialising in the space sector, has released its 2022 space logistics satellite market report, outlining the on-orbit services required to sustain a space ecosystem. It says such services, ranging from launches to satellites’ end of life, are expected to generate $4.4 billion in revenue by 2031, with the involvement of more ...

This story continues at Euroconsult sizes $4.4bn Space Logistics market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LCSBYnU
via Yuichun

2022年5月19日 星期四

Automated driving company gets €8m loan

The European Investment Bank (EIB) has granted an €8 million loan to Sensible 4 of Finland which has developed Level 4 automated driving software enabling any vehicle to operate under challenging weather conditions, including snow, heavy rain, fog and sandstorms. The loan is part of the European Guarantee Fund’s venture debt product to provide liquidity ...

This story continues at Automated driving company gets €8m loan

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/pha7IJ8
via Yuichun

Four-channel 5G small cell design for licencing

CommAgility has introduced a four-channel 5G small cell gNodeB platform for industrial private networks, cloud applications and video streaming. SC-RF4-5Gn78 is a standalone small cell for indoor use complete with baseband and RF hardware based on NXP’s Layerscape and Layerscape Access SoCs that combine 16 Arm Cortex-A72 cores with NXP’s VSPA DSP cores, security and wireless ...

This story continues at Four-channel 5G small cell design for licencing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/qcWQsg1
via Yuichun

Modular brushless dc motor control development boards

Toshiba has teamed up with MikroElektronika to create motor drive modules in one of Mikroe’s  interchangeable standard PCB formats – Clicker 4 in this case. “Mikroe Clicker 4 development board for Toshiba’s M4K MCU, combined with the Clicker 4 Inverter Shield is a solution for experimenting with brushless dc motor control,” according to Toshiba. Clicker ...

This story continues at Modular brushless dc motor control development boards

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/a65HPrK
via Yuichun

Chinese chip companies supplied 6.6% of China market in 2021

China-based IC production will represent 21.2% of the China market in 2026, says IC Insights in its May Q2 Update to The McLean Report. Foreign companies (e.g., Samsung, SK Hynix, TSMC, etc.) are expected continue to comprise more than 50% of IC production in China through 2026 A very clear distinction should be made between ...

This story continues at Chinese chip companies supplied 6.6% of China market in 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/jPA79LT
via Yuichun

TT launches digital pressure gauges

TT Electronics  has launched a new series of high-accuracy digital pressure gauges. Drawing on TT’s deep expertise in pressure sensors, the DPG series is the company’s first line of pressure gauges using digital technology. TT’s DPG series is optimised for heavy industrial applications including industrial machinery, heat treatment, and water management, offering accuracy within +/- ...

This story continues at TT launches digital pressure gauges

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RntbZfL
via Yuichun

DRAM revenues and profits fall

Q1 DRAM revenues fell 4% q-o-q, says TrendForce, to reach $24 billion. Client-end inventory levels have continued rising, so their primary goal has become digesting inventory. Due to slow sales, ASPs have fallen. Thanks to PC and auto demand, Micron saw sales rise 2.4%, but Samsung and SK Hynix revenue fell by 1.1% and 11.8% ...

This story continues at DRAM revenues and profits fall

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/n2GohXj
via Yuichun

Digi-key enables digital-wallet platforms

Digi-Key Electronics has announced it now supports the use of the Google Pay and Apple Pay digital wallets during its checkout process in most major markets. It already supports online payment by PayPal, and says it is now the first electronic component distributor to offer Google and Apple’s payment systems. “We’re proud to lead the ...

This story continues at Digi-key enables digital-wallet platforms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Fg5pyV6
via Yuichun

2022年5月18日 星期三

Microcontroller adds strong security to larger systems

Microchip has announced a cyber-attack-resilient microcontroller family for securing end-user equuipment that goes “beyond NIST 800-193 Platform Firmware Resiliency guidelines with run-time firmware protection that anchors the secure boot process while establishing an entire chain-of-trust for the system”, according to the company, adding that it is also designed for Open Compute Project Security Called CEC173x, the aim ...

This story continues at Microcontroller adds strong security to larger systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RSQCf9Z
via Yuichun

BT trials excited atoms antenna technology

BT today announced a pioneering trial of a new hyper-sensitive quantum antenna technology using excited atomic states that could boost the capability of next generation 5G and IoT networks. Atomic Radio Frequency (RF) receiver technology represents a revolutionary new way of detecting radio waves that could find much weaker signals than conventional receivers. The receiver ...

This story continues at BT trials excited atoms antenna technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ytwE2J5
via Yuichun

Imagination and Visidon hook up for image enhancement

Imagination and Visidon have partnered to enable the upscaling of  low-resolution images and videos to 4K and 8K using algorithms. Inagibation’s  IMG Series4 NNA enables these processes to be done in real-time with greater efficiency within tight power and thermal SoC budgets. Imagination contributes its  IMG Series4 neural network accelerator (NNA) for compute performance using its Tensor ...

This story continues at Imagination and Visidon hook up for image enhancement

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/9zqds4C
via Yuichun

Q1 NEV sales up 80% y-o-y

Two million NEVs (New Energy Vehicles) including battery electric vehicles, plug-in hybrid electric vehicles, and fuel cell vehicles were sold  in 1Q22, says TrendForce, which was 80% more than innQ1 2021. Battery electric vehicles (BEV) had the strongest growth with sales reaching 1.508 million. Plug-in hybrid electric vehicles (PHEVs) sold 493,000 For all auto sales in ...

This story continues at Q1 NEV sales up 80% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hs0lHKb
via Yuichun

Siemens Digital in GloFo photonics PDKs

Globalfoundries’ Fotonix PDKs now include Siemens’ Calibre nmDRC software for design rule checking (DRC) and Calibre nmLVS software for layout vs. schematic (LVS) verification. Both Calibre tools are certified by GF, so mutual customers designing for the new GF Fotonix platform can continue to use the trusted Calibre nmPlatform for silicon photonic devices as they ...

This story continues at Siemens Digital in GloFo photonics PDKs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/RB2k1KV
via Yuichun

2022年5月17日 星期二

Prestwick Spaceport launches educational campaign about space sector jobs

Prestwick Spaceport, along with affiliated aerospace businesses, is launching a campaign to educate young people across Scotland about careers in the UK’s space sector. The spaceport, which is located near Glasgow and is planning to start satellite launches in 2023, is being developed alongside Scotland’s largest aerospace cluster. It has secured multi-million-pound funding through an ...

This story continues at Prestwick Spaceport launches educational campaign about space sector jobs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LrD42zA
via Yuichun

Classiq raises £36m

Classiq, the analgamated Cambridge Quantum and Honeywell Quantum Solutions, has raised $36 billion in a second closing of its Series B fund, bringing total investment in the company to $51 billion. HSBC, NTT Finance, and Intesa Sanpaolo participated in the second closing of its Series B round. They  join existing  investors Wing VC, Entrée Capital, ...

This story continues at Classiq raises £36m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/xsSZmG5
via Yuichun

Distrelec expands four product ranges

Distrelec, the components distributor, has announced a major expansion of its range from four leading manufacturers: C&K, KEMET, Molex and TE Connectivity. The expansion covers some 21,500 products in total, all available for shipping in a maximum of three to five days. It is a reflection of Distrelec’s goal to continually develop the portfolio of ...

This story continues at Distrelec expands four product ranges

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/9vriYB4
via Yuichun

Advanced packaging market to be worth €57bn in 2026

The  advanced packaging market’s revenue is expected to reach $57.2 billion in 2027, says Yole Developpement. ASE was No.1, followed by Amkor, with  Intel third, JCET fourth and TSMC fifth. The market’s total 2022  revenue was $32.1 billion. 5G, automotive infotainment/ADAS , AI, data centre, and wearable applications continue to drive the market. “The major OSATs ...

This story continues at Advanced packaging market to be worth €57bn in 2026

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/GDZdSjo
via Yuichun

Digi-Key,TI to host Isolated USB Repeaters webinar

Digi-Key Electronics, along with Texas Instruments, is hosting a webinar about isolated USB repeaters, titled ‘Enhanced Protection with TI’s new Isolated Repeaters’. Taking place on Tuesday 24 May 24, at 11 a.m. (CDT), it will demonstrate how to improve both performance and protection in harsh environments with high-speed isolated USB repeaters. Specifically, how it’s possible ...

This story continues at Digi-Key,TI to host Isolated USB Repeaters webinar

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/J1lvMIt
via Yuichun

Northumbria University expands engineering teaching facilities

Northumbria University’s engineering teaching facilities have been upgraded by funding from Office for Students. Opening the upgraded centre last Week was the Minister for Science, Research and Innovation, George Freeman pictured with the university’s Vice-Chancellor Prof Andrew Wathey. “This laboratory is a fantastic addition to the University of Northumbria’s world-class facilities,” said Freeman at the ...

This story continues at Northumbria University expands engineering teaching facilities

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cfj01d2
via Yuichun

2022年5月16日 星期一

4,500V 3,000A press pack IGBT for transmission and distribution

Infineon has released a pair of high power IGBTs, both blocking 4.7kV, in ceramic PPI (press pack IGBT) packaging. The 125mm pole piece diameter housing is hermetically sealed and specifically designed to withstand system-induced failures. “This PPI is specifically designed for transmission and distribution applications, and is ideal for high current MMCs [modular multi-level converters], ...

This story continues at 4,500V 3,000A press pack IGBT for transmission and distribution

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/Mconkmg
via Yuichun

PCIM: Rohm’s 4th generation SiC mosfets

Rohm revealed details of its fourth generation of silicon carbide mosfets at PCIM in Nurembeg, claiming up to 50% lower switching loss and 40 % reduction of on-resistance compared to its earlier parts, without sacrificing short-circuit ruggedness. They are all trench types and have more flexible gate drive requirements than before – operating at 15 ...

This story continues at PCIM: Rohm’s 4th generation SiC mosfets

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/waueqbA
via Yuichun

ST to be $20bn revenue company by 2025-7

ST has revealed its ambition to be a $20 billion revenue company by 2025-27. Last year the company had revenues of €12.8 billion and this year, says CEO Jean-Marc Chery (pictured), the company is on track for revenues of €15 billion with a gross margin of 46% and an operating margin of 24-26%. In 2025-7, ...

This story continues at ST to be $20bn revenue company by 2025-7

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/8IGReCY
via Yuichun

Samsung raising foundry prices

Samsung is reported to be talking to foundry customers about a rise in prices of between 15% and 20% to take effect in H2. Last week it was revealed that TSMC had proposed single digit price rises to customers. The brunt of the prices increases is sis to be falling on Samsung is said to ...

This story continues at Samsung raising foundry prices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/1w2MGAo
via Yuichun

2022年5月15日 星期日

Careful with that media library, Android 13

Google has announced the second Beta of its Android 13 mobile operating system. It says the release concentrates on “core themes of privacy and security” as well as developer productivity. For example, it’s added a new permission for sending notifications, a privacy-protecting photo picker (letting you select the exact photos or videos you want to share), ...

This story continues at Careful with that media library, Android 13

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5cYqte1
via Yuichun

Toshiba attracts ten bidders

Toshiba has had offers from ten potential buyers, reports the Nikkei, after agreeing to shareholder demands that it should consider outside bids for the company. “The management will carefully consider various options and make a decision that will be best for the company,” said CFO Masayoshi Hirata. Toshiba says it has set aside $77 million ...

This story continues at Toshiba attracts ten bidders

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YxVd5et
via Yuichun

2022年5月13日 星期五

RF GaN-onSi prototypes from STMicroelectronics – Macom partnership

STMicroelectronics and Macom Technology Solutions have announced prototypes on their fledgling RF GaN-on-Si process, which the companies aim to pitch against RF LDMOS (laterally-diffused metal-oxide semiconductor) for RF power amplifiers, and as lower-cost alternative to RF GaN-on-SiC (silicon carbide). “GaN can offer superior RF characteristics and significantly higher output power than LDMOS for these RF PAs,” ...

This story continues at RF GaN-onSi prototypes from STMicroelectronics – Macom partnership

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/aZn9rbP
via Yuichun

Record revenues at Arm

Arm’s new CEO, Rene Haas (pictured),  delivered 2021 revenues that were a record. 2021 total revenues were up 35% y-o-y to $2.7 billion with strong growth in both royalty and non-royalty revenue. 2021 licensing (non-royalty) revenues were up 61% to $1.13 billion as Arm’s expanded product portfolio and new business models such as Arm Flexible ...

This story continues at Record revenues at Arm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/tHLSA5b
via Yuichun

Orbex unveils prototype of 3D-printed Prime space rocket for Space Hub Sutherland

Orbex – the UK-based private, low-cost orbital launch services specialist – has unveiled a first full-scale prototype of its Prime orbital space rocket, which uses 3D-printed engines. Specifically, the first integration of a full scale Orbex prototype launch vehicle on a launch pad is now complete. With headquarters and production facilities in Forres, North-East Scotland, ...

This story continues at Orbex unveils prototype of 3D-printed Prime space rocket for Space Hub Sutherland

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3HC2fkD
via Yuichun

2022年5月12日 星期四

Trackwise to increase cell-to-pack interconnection volumes

Trackwise of Tewkesbury, the PCB-based products developer, is building a high volume plant for one of their key battery sub-systems – the cell-to-pack interconnect. Trackwise is currently delivering lightweight, space-saving, flexible printed circuit (FPC) interconnect technology to the automotive industry to facilitate high power density cell-to-pack battery systems for electric vehicles (EV). Cell-to-pack technology aims ...

This story continues at Trackwise to increase cell-to-pack interconnection volumes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/spOHUN1
via Yuichun

Mosfet for airbags – Nexperia gets very application specific

Nexperia has created a mosfet for automotive airbags, the n-channel 60V 40A 13mΩ(max) BUK9M20-60EL. The logic-level device comes in 3.3 x 3.3mm SOT1210 ‘LFPAK33’ packaging and has “enhanced safe-operating-area technology which has been tailored to provide exceptional transient linear mode performance, a key performance metric in airbag applications”, according to the company. “LFPAK33 packaging uses ...

This story continues at Mosfet for airbags – Nexperia gets very application specific

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/IOpaNr3
via Yuichun

Wi-Fi 6, Bluetooth LE 5.2, Thread and Zigbee on a 10 x14mm module

U-blox has announced a radio module supporting Wi-Fi 6, Bluetooth low energy 5.2 and IEEE 802.15.4 (Thread and Zigbee) that occupies only 10.4 x 14.3 x 1.9mm. “Wi-Fi 6, also referred to as 802.11ax, offers better network efficiency – especially in congested areas, lower latency and improved range over previous Wi-Fi standards,” said U-blox. “Thread paves the ...

This story continues at Wi-Fi 6, Bluetooth LE 5.2, Thread and Zigbee on a 10 x14mm module

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0p5YCJH
via Yuichun

IQE claims first commercial 8” VCSEL epiwafer

IQE, the compound semiconductor wafer supplier, claims to have the world’s first commercially available 200 mm (8”) VCSEL epiwafer. The wafers will be used in the wireless, sensing and display markets. IQE’s 200mm epiwafers will enable a step-change in unit economics for compound semiconductors, leading to the expansion of the market for IQE. The increase ...

This story continues at IQE claims first commercial 8” VCSEL epiwafer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/L3jrJND
via Yuichun

Scotland looks to Astra for 2023 satellite launches

It was Spaceport Cornwall and Virgin Orbit that took the headlines yesterday for the UK’s first planned satellite launch this summer, but Shetland and Astra Space are also planning launches, expected to begin in 2023. Astra, the Californian space launch company, and the Shetland space centre, which is now named the SaxaVord UK Spaceport, are partnering ...

This story continues at Scotland looks to Astra for 2023 satellite launches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/xZEFknM
via Yuichun

2022年5月11日 星期三

Efficient GaN-on-Si 65W AFC reference design

Innoscience Technology, the GaN-on-Si power specialist and Silanna Semiconductor have demo-ed , a 65 W Active Clamp Flyback (AFC) reference design with 30 W/in3 uncased power density which achieves efficiency levels of greater than 94% @ 230 Vac and has a no-load power consumption of less than 25 mW. The design combines the performance of ...

This story continues at Efficient GaN-on-Si 65W AFC reference design

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bFHNR2S
via Yuichun

PCIM: Precision 200A fluxgate current sensor

Danisense launched a series of ultra-stable, ppm-accuracy fluxgate current transducers at PCIM this week, that are smaller than its previous offerings. For dc and ac measurement, DT series current transducers use closed-loop compensated sensing with fixed excitation frequency and second harmonic zero flux detection for “better than 2 ppm” linearity, according to the company. Signal ...

This story continues at PCIM: Precision 200A fluxgate current sensor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/NsEpbXM
via Yuichun

PCIM: 100V 1.7mΩ GaN power transistor in 10.2mm2 footprint

Efficient Power Conversion has introduced a 1.7mΩ (typ) 100V 10.2mm2 footprint enhancement-mode GaN transistor. THe chip-scale part, named EPC2071, it from the company’s fifth GaN hemt generation and, compared with its fourth generation, gives the EPC2071 the same on-resistance as the prior generation with 26% smaller size according to the company, which sees it switching ...

This story continues at PCIM: 100V 1.7mΩ GaN power transistor in 10.2mm2 footprint

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/M2ORiJQ
via Yuichun

PA Consulting celebrates annual Raspberry Pi school coding competition

The winners of the 2022 Raspberry Pi coding competition organised by PA Consulting have been announced, with schools across the UK having been challenged to solve problems using the the single-board computer. Now in its tenth year, “Saving the planet” via sustainability was the challenge, to be addressed by school children in different age groups. ...

This story continues at PA Consulting celebrates annual Raspberry Pi school coding competition

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SJBYePg
via Yuichun

Communications Chasms in supply chains

Communications Chasms can add to delays and costs in supply chains, warns Sondrel. As chip supply chains consist of a series of different subcontractors each independently doing a specific task, disconnects can easily happen between them. Unless the handover between each stage is overseen by someone who really understand the whole process, it is all ...

This story continues at Communications Chasms in supply chains

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/j0wlt57
via Yuichun

2022年5月10日 星期二

UK made: 0.5mm pitch mezzanine connector

‘Archer .5’ is a range of 0.5mm pitch board-to-board connectors from Portsmouth-based Harwin, following on from its recent ‘Archer .8’ 0.8mm-pitch connectors. Board-to-board stack height is 8mm. “Specifically designed for applications with limited available space, Archer .5 is the perfect choice of board, stack, or PCB connector,” claimed the company. Materials are compliant with RoHS ...

This story continues at UK made: 0.5mm pitch mezzanine connector

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5Qmo4y1
via Yuichun

Henkel offers resins for BCN3D’s novel production-grade 3D printer

BCN3D Technologies of Barcelona, inventor of ‘VLM’ upside-down resin 3D printing, is collaborated with Henkel Adhesive Technologies to develop appropriate resins. VLM allows objects to be printed from resins far thicker than can be used with vat-based printers, with the higher viscosity allowing chemistry which produces tougher end-products. Little has been disclosed about the resulting ...

This story continues at Henkel offers resins for BCN3D’s novel production-grade 3D printer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/bTmEM6k
via Yuichun

Spaceport Cornwall to host UK’s first satellite launch this summer

The UK Government has announced the first UK satellite launch, to take place this summer, with “Prometheus-2” taking off from Spaceport Cornwall, in Newquay. The Defence Procurement Minister, Jeremy Quin, has announced two Cubesats will be carried on Virgin Orbit’s Launcher One rocket (pictured), taking off horizontally from a modified Boeing 747 jet, named Cosmic ...

This story continues at Spaceport Cornwall to host UK’s first satellite launch this summer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/fV6vkad
via Yuichun

 1,300W quarter brick dc-dc can handle 1,700W peak loads

Flex Power Modules has introduced an enhanced version of its BMR350 non-isolated quarter-brick dc-dc converter, taking continuous power rating from 860W to 1,300 W, and 1,700W peak. “The increased rating is enabled by an additional bottom side cooling plate along with doubling up of output connector pins,” said Flex. It keeps the 58.4 x 36.8mm ...

This story continues at  1,300W quarter brick dc-dc can handle 1,700W peak loads

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/LnaKg0u
via Yuichun

Hydrogen bus for Taiwan

Advantech has  signed a letter of intent (LOI) with Tsai Ying Clean Energy (TYCE) for cooperation in developing the first “Made-in-Taiwan” hydrogen fuel cell electric bus (FCEB) management system, as well as jointly exploring domestic and international markets for it. The Taiwan government has announced its net-zero road map, focusing on energy efficiency, green energy, ...

This story continues at Hydrogen bus for Taiwan

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/MDOP2JF
via Yuichun

2022年5月9日 星期一

650V 45mΩ 80nC super-junction mosfet in TO220

STMicroelectronics’ is aiming its M9 and DM9 n-channel super-junction multi-drain mosfets at switched-mode power supplies in applications from data centre servers and 5G infrastructure to flat-panel televisions. The first devices in these families are the 650V 45mΩ STP65N045M9 and the 600V  43mΩ STP60N043DM9. Gate charge is typically 80nC at 400V drain voltage so “these devices have the best RDS(on)max ...

This story continues at 650V 45mΩ 80nC super-junction mosfet in TO220

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/5qBxgaN
via Yuichun

Trustonic gets [EAL] 5+ for Kinibi secure operating system

Trustonic of Cambridge has been granted Common Criterial Evaluation Assurance Level [EAL] 5+ for version 510a-V007 of its Kinibi operating system – against a protection profile defined by GlobalPlatform, the industry body responsible for trusted execution environments. “This certification is truly the gold standard for secure OSs, and we are delighted to have been recognised and ...

This story continues at Trustonic gets [EAL] 5+ for Kinibi secure operating system

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/zBq36n1
via Yuichun

Low-cost electrochemical cell emits 90CRI white

Copper is the key to low-cost electrochemical cells that emit blue and white light, according to the Technical University of Munich and the University of Turin, which have used deep data analysis to guide their research. By using statistical tools and other methods on over 90 similar copper-based compounds (of the form Cu(N^N)(P^P)) that have been used ...

This story continues at Low-cost electrochemical cell emits 90CRI white

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/CNoZHqy
via Yuichun

Trameto raises a second seed round

 Trameto, the energy harvesting PMIC (EH PMIC) specialist, has closed a  second round of seed funding. Investors are the Development Bank of Wales and u-blox. The bank is an existing equity investor and board observer, and u-blox will also join the board as an observer.  “This funding round enables us to embark on the next part ...

This story continues at Trameto raises a second seed round

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cBN9jxP
via Yuichun

The biggest challenge for AI is to make it easy to use

The industry and many markets have embraced big data analytics but this will mean a re-think for chip designers who will need new tools to create competitive solutions. According to Ravi Subramanian, senior vice president and general manager of IC verification solutions, Siemens EDA. AI and software are pushing sensing and electronics to the network ...

This story continues at The biggest challenge for AI is to make it easy to use

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/j0IQAEW
via Yuichun

Infineon expects 2022 revenues of €13.5bn

Infineon had calendar Q1 revenues up 22% y-oY and 4% sequentially at €3.298 billion for a profit of €761 million at a margin of 23.1% It had free cash flow of €120 million. For calendar Q2 it forecasts revenue of around €3.4 billion at a margin of around 21%. For its FY 2022, Infineon expects ...

This story continues at Infineon expects 2022 revenues of €13.5bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4Gcab0d
via Yuichun

Design IP Sales Grew 19.4% in 2021

Design IP Sales Grew 19.4% in 2021 to reach $5.45 billion, reports Eric Esteve’s IPnest in its Design IP Report for May 2022. The feport ranks IP vendors by category (CPU, DSP, GPU & ISP, Wired Interface, SRAM Memory Compiler, Flash Memory Compiler, Library and I/O, AMS, Wireless Interface, Infrastructure and Misc. Digital) and by ...

This story continues at Design IP Sales Grew 19.4% in 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/l078yvE
via Yuichun

Automotive radar market attracting new players

The automotive radar market is expected to reach $12.8 billion by 2027, says Yole Developpement. This market growth will come from 4D and imaging radar. “The first commercial automotive radar was introduced in 2000 for Daimler and BMW cars,” says Yole’s Cédric Malaquin, “during 2020 and 2021, leading radar suppliers launched their 5th-generation radars. Modern ...

This story continues at Automotive radar market attracting new players

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/vn94WP1
via Yuichun

5G mmWave repeater for street lights

Ubicquia, the mobile infrastructure supplier, and Movandi, the 5G mmWave RF specialist, aim to create a mmWave repeater that plugs into a streetlight’s photocell socket. mmWave frequencies provide the gigabit per second throughputs promised by 5G and needed to keep pace with evolving capacity demands. In the US, for example, network operators have spent $4.5 ...

This story continues at 5G mmWave repeater for street lights

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mhJB4QV
via Yuichun

2022年5月6日 星期五

Intel’s $15bn 2021 R&D spend

Industry R&D spending will rise  9% this year to $80.5 billion  after an 13% rise last hear to reach a record high of $71.4 billion, reports IC Insights’ Q2 Update to the McLean Report 2022. Intel continues to lead the R&D rankings, spending $15.2 billion on R&D last year., The top 10 raised spending 18% ...

This story continues at Intel’s $15bn 2021 R&D spend

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/SuqaDA6
via Yuichun

Rambus to buy Hardent

Rambus is to buy Hardent, the specialist in silicon design, verification, compression, and Error Correction Code (ECC). The acquisition should strengthen the Rambus CXL Memory Interconnect Initiative. “Driven by the demands of advanced workloads like AI/ML and the move to disaggregated data center architectures, industry momentum for CXL-based solutions continues to grow,” says Luc Seraphin, ...

This story continues at Rambus to buy Hardent

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/8Zavpin
via Yuichun

Graphene-based MPW run

The 2D Experimental Pilot Line (2D-EPL) has launched its first customisable wafer run. One  of five MPW runs, this first phase targets sensor applications. Companies, universities and research institutes can include their designs as dies on joint wafers, to test their ideas for devices on a larger scale at relatively low costs. The 2D-EPL is ...

This story continues at Graphene-based MPW run

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/b0np283
via Yuichun

2022年5月5日 星期四

DARPA seeks in-space demonstration of nuclear thermal rockets

The U.S. Defense Advanced Research Projects Agency (DARPA) is seeking proposals for an in-space demonstration of the use of nuclear thermal rockets. Specifically, DARPA is after proposals for Phases 2 and 3 of its DRACO (Demonstration Rocket for Agile Cislunar Operations) programme, which is for the design, development, fabrication, and assembly of a nuclear thermal ...

This story continues at DARPA seeks in-space demonstration of nuclear thermal rockets

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/S6QDXMT
via Yuichun

Government sets out rules for tech giants

The government has set out plans for how the tech regulator will tackle the dominance of major firms. New ‘fair-play’ rules for the biggest tech firms would make it easier for people to switch between phone operating systems and mean smaller firms are alerted to algorithm changes. There are plans for fines up to 10 ...

This story continues at Government sets out rules for tech giants

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3WoVgZc
via Yuichun

RS Components joins Make UK

Omni-channel distributor, RS Components, has become a member of  Make UK, the UK’s manufacturing organisation to promote innovation in and the development of skills for the British manufacturing industry. RS Components’ managing director, UK and Ireland, Emma Bofield, said: “Our membership will enable us to contribute to [the Make UK] community, using our unique position ...

This story continues at RS Components joins Make UK

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/W0syiJ4
via Yuichun

Switched capacitor battery charger for USB PD PPS

Halo Microelectronics has introduced a switched capacitor charger dc-dc converter for single Li-ion or Li-po cells that can deliver up to 7A while drawing 3.5A from the supply. Called HL7139, it is inteded to work from USB PD PSS (power delivery, programmable power supply) sources. Switched capacitor power converters can be compact and highly efficient, ...

This story continues at Switched capacitor battery charger for USB PD PPS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/HODd9KU
via Yuichun

AMD Q1 revenue up 71% y-o-y

AMD had Q1 revenue of $5.9 billion – up 71% y-o-y. Gross margin was 48%, operating income was $951 million, operating margin was 16% and net income  was $786 million. “The first quarter marked a significant inflection point in our journey to scale and transform AMD as we delivered record revenueand closed our strategic acquisition of ...

This story continues at AMD Q1 revenue up 71% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/MkA5PDt
via Yuichun

DASA seeks GAN human augmentation proposals

The UK’s Defence and Security Accelerator (DASA) is launching a new Innovation Focus Area (IFA) called “Human Augmentation”. It will focus on solving “operational challenges”, for UK defence and security applications, where physical human performance is the limiting factor for delivering operational effects, says DASA. The IFA is now seeking proposals – to a value ...

This story continues at DASA seeks GAN human augmentation proposals

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/FH3YJB4
via Yuichun

O-RAN training course set up

The Telecom Infra Project (TIP), enabling disaggregated telecoms infrastructure networks, has launched the ‘TIP Academy’. TIP sees the need for an industry-led, operator and vendor neutral training service designed to train telecoms workers  to participate in, or lead on, the solution, design, development, testing and deployment of  disaggregated networks. TIP Academy is a B2B learning ...

This story continues at O-RAN training course set up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/cjPLVuM
via Yuichun

2022年5月4日 星期三

Integrated power controller for LED lighting

ST has launched a digital power controller with distortion mitigation suitable for LED-lighting applications. The STNRG012 contains a multimode power-factor correction (PFC) controller, resonant half-bridge controller, and 800V startup circuit, managed by a digital engine. The PFC controller changes dynamically between transition-mode, discontinuous-current mode (DCM), and valley skipping for optimum efficiency. The half-bridge controller executes ST’s time-shift control ...

This story continues at Integrated power controller for LED lighting

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/hTXV1GL
via Yuichun

FIPS compliant secure SSDs

Advantech, the  industrial flash specialist, is shipping the TCG-OPAL compliant SQFlash 840F and 920F series. SQF920F/840F deliver data security via hardware-based AES encryption. These  security features conform to FIPS (Federal Information Processing Standard) 140-2 from U.S. National Institute of Standards and Technologies (NIST) (listed in Cryptographic Module Validation Program*). Advantech’s SATA / PCIe SSDs claim ...

This story continues at FIPS compliant secure SSDs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/YomZWfb
via Yuichun

RFID tags for medical identification

In mid-May, Murata will introduce the small RAIN RFID Tag to provide a unique identifier,  enabling RFID readers to determine the item’s identity and authenticity in healthcare applications. The tags can be fitted to metallic and non-metallic surfaces, perfect for use on small items. To avoid any risk of contamination during the drug filling operations, ...

This story continues at RFID tags for medical identification

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/JcWUgsm
via Yuichun

e-Book on flip-flops

element14 has published an a eBook on how flip-flops work and their potential applications. The eBook provides a brief overview of the concept and offers insights into the functionality and different types of SR (Set-Reset) flip-flops. .Flip-flops have many possible uses. Edge-triggered flip-flops are employed as the main component for sequential circuits in digital electronics. ...

This story continues at e-Book on flip-flops

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/4HTLEUC
via Yuichun

2022年5月3日 星期二

UK GaN micro-LED display at San Jose Display Week

Cambridge GaN colour micro-LED company Porotech will be unveiling a multi-colour display technology at Display Week in California next week, using identical pixels from a single wafer to create colour. Its “PoroGaN platform makes it possible for each individual tiny LED on an epiwafer to emit all colours of the visible spectrum”, according to the company. “At ...

This story continues at UK GaN micro-LED display at San Jose Display Week

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/EVNk9UD
via Yuichun

Two-channel 24bit 2Msample/s SAR ADC is ‘easy to drive’

Analog Devices has introduced a 24bit 2Msample/s dual-channel SAR analogue to digital converter, branding it ‘Easy Drive’ for its front end – which still requires a buffer amplifier between it and the signal source Called AD4630-24, sampling on the two channel is simultaneous, claimed accuracy (INL) is 900 parts-per-billion, and the typical dynamic range is ...

This story continues at Two-channel 24bit 2Msample/s SAR ADC is ‘easy to drive’

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/ZWpI3YO
via Yuichun

Sponsored Content: Cybersecurity with Tessent Embedded Analytics

There’s a strong case for using hardware-based threat detection and mitigation. SoC design teams fill a mission-critical role in ensuring cyber-physical safety and security for electrical and electronic systems that are connected to the internet. The requirements and tools available to achieve this goal are ever-shifting, but we can be fairly sure that traditional software-only ...

This story continues at Sponsored Content: Cybersecurity with Tessent Embedded Analytics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/0nzmK8k
via Yuichun

PCIM: Current sensor offers 11bit sigma-delta stream output

LEM has created a current sensor with a sigma-delta bit stream output. To be unveiled officially at PCIM in Nuremberg next week, the sensor is aimed at applications prone to noise, distortion and interference. Called HMSR DA sensor and intended for both ac and dc sensing, it offers resolution of 11 to 13bit and has ...

This story continues at PCIM: Current sensor offers 11bit sigma-delta stream output

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/mAsEWw2
via Yuichun

Industrial MCU gets Gbit time-sensitive networking and security

NXP is claiming first, for a microcontroller that includes a Gbit time-sensitive networking switch and traditional industrial busses for industrial IoT. Called i.MX RT1180, the family can handle real-time industrial ethernet protocols such as Profinet, Ethernet/IP, EtherCAT, CC-Link IE Field and HSR, as well as TSN standards compliant to IEC 60802. Protocols include OPC UA Pub-Sub, ...

This story continues at Industrial MCU gets Gbit time-sensitive networking and security

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/DKuxcg1
via Yuichun