2021年10月31日 星期日

3D printer fuses two materials

Scientists from Skoltech of  Moscow have used a 3D printer to fuse two materials in an alloy whose composition continuously changes from one region of the sample to the other, endowing the alloy with gradient magnetic properties. Despite the nonmagnetic nature of the constituent materials, the alloy exhibits magnetic properties 3D printing tends to use one ...

This story continues at 3D printer fuses two materials

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BvRUVt
via Yuichun

Cryo-forged nanotwinned titanium increases strength without losing ductility

Researchers at Lawrence Berkeley National Laboratory (Berkeley Lab) have used a technique called cryo-forging to manipulate pure titanium at nanoscale dimensions at ultra-low temperatures to produce extra-strong “nanotwinned” titanium without sacrificing ductility. “This study is the first time someone has produced a pure nanotwinned structure in bulk material,” says Andrew Minor, the study’s project lead and ...

This story continues at Cryo-forged nanotwinned titanium increases strength without losing ductility

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3CtyfqF
via Yuichun

2021年10月29日 星期五

LeoLabs selects Australia as site for next space radars

LeoLabs has announced Australia as the site for two more of its space radars. The West Australian Space Radar site will add to its constellation of S-band, phased-array sensors. When the new radars are completed in 2022 it will expand LeoLabs’ total number of radar sites to six, and total number of space radars to ...

This story continues at LeoLabs selects Australia as site for next space radars

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nHWgnz
via Yuichun

Updated lighting guide for partially-sighted makes good reading for all

The Thomas Pocklington Trust has once again updated it guide for lighting spaces in which partially-sighted people live, and has broadened its appeal to beyond its original intended audience. “Lighting makes such a difference for partially sighted people, often enabling them to maintain their independence,” the Trust told Electronics Weekly. Now at issue 3, ‘Lighting in ...

This story continues at Updated lighting guide for partially-sighted makes good reading for all

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3CraOOQ
via Yuichun

Glassification could stabilise Perovskite light emitters

Lead (Pb) halide perovskites, known for fledgling solar cell technology, can also emit light. The materials are generally unstable in the moisture of the atmosphere, deteriorating over hours or days. But researchers in Australia have maintained ~80% of emission despite 10,000 hours of water immersion. Although this was a photo-luminescence proof-of-concept – emitting light when ...

This story continues at Glassification could stabilise Perovskite light emitters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vY24x3
via Yuichun

Vandal-resistant push button needs less space behind the panel

C&K has launched anti-vandal push buttons that need less space at the rear compared with its earlier 22mm offering. The ATPS22 series has a shorter bushing than its ATP19 or 22 series, and comes in solder lug or wire terminated versions. The solder version extends 21.15mm from the front of the panel to the tip ...

This story continues at Vandal-resistant push button needs less space behind the panel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3CDDwMc
via Yuichun

Panel potentiometers are stiffer to resist accidental rotation

Vishay has introduced panel potentiometers that are stiffer to rotate, to reduce the chances of operation accidentally or though shock or vibration. Behind the panel, the bodies are 12.5 x 12.5mm, and they are modular, allowing up to seven potentiometers to be stacked on the back of a single shaft. Various switch modules can be ...

This story continues at Panel potentiometers are stiffer to resist accidental rotation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3GyDkjR
via Yuichun

Automotive flexy-to-PCB connector supports MIPI D-PHY

Hirose is aiming MIPI D automotive applications with a 40 position PCB connector for flat flexible PCBs. Called FH75, it supports MIPI D-PHY specifications at up to 1.5Gbit/s. Pads are on a 0.5mm pitch and the connectors are 2mm high. Inside is a “two-point contact design with two independent springs that provide a wiping action ...

This story continues at Automotive flexy-to-PCB connector supports MIPI D-PHY

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pN9eTP
via Yuichun

Fan-less Celeron N3350 SBC supports computer vision

KIWI310 is a fan-less Celeron N3350 single-board computer from Axiomtek that supports supports Linux, Android and Windows. Measuring 85 x 56mm, it has Intel’s Gen9 graphic engine built-in, plus a M.2 Key E 2230 slot for adding Intel’s Movidius Myriad X vision processing unit – the M.2 Key E slot can also host a 5G ...

This story continues at Fan-less Celeron N3350 SBC supports computer vision

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/316Exyx
via Yuichun

How much capex is too much?

CapEx is on track for strong growth in 2021, says Semiconductor Intelligence. For many companies the increase should continue into 2022. TSMC expects to spend $30 billion in CapEx in 2021, a 74% increase from 2020. TSMC announced in March it plans to invest $100 billion over the next three years, primarily for CapEx. Our ...

This story continues at How much capex is too much?

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pNXSir
via Yuichun

Hard-to-reach premises to get gigabit broadband

567,000 hard-to-reach premises across Cheshire, Devon, Dorset, Somerset, Essex, Herefordshire, Gloucestershire, Lincolnshire, East Riding and North Yorkshire are in line to benefit from the government’s £5 billion Project Gigabit, says the DCMS. Most of the gigabit-capable connections will be delivered through full fibre cables. In Scotland 3,600 premises in Aberdeenshire, Angus, the Highlands, Moray and ...

This story continues at Hard-to-reach premises to get gigabit broadband

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mrb6PS
via Yuichun

Zynq integrated into multi-streaming end products

Xilinx has been working with systems integrators to provide production-ready multimedia streaming products for broadcast and professional AV applications. Xilinx componentry includes  Zynq UltraScale+  EV Multi-Processor (MP) system-on-a-chip (SoC) and Zynq-7000 SoC devices. The integrators are contributing FPGA IP, media framework software and production-ready products. The products  are ready-to-ship, or ready to customise. Multimedia streaming requires ...

This story continues at Zynq integrated into multi-streaming end products

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jP77v4
via Yuichun

Farnell signs Torex Semiconductor

Farnell has signed a global franchise agreement with Torex Semiconductor and is now stocking its PMIC range. This includes XC9276 Series of 150mA step-down synchronous rectification DC/DC converters which have an output voltage switchover function with an ultra-low power consumption circuit and PFM control. The XC9276 can help reduce power consumption for a complete system. ...

This story continues at Farnell signs Torex Semiconductor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jMrdWS
via Yuichun

Blue Origin, Sierra Space make plans for Orbital Reef commercial space station

Called Orbital Reef, plans to create a commercial space station in low-Earth orbit (LEO) have been announced by a consortium of companies including Blue Origin – founded by Jeff Bezos – and Sierra Space. Other organisations reportedly on board include Boeing, Redwire Space, Genesis Engineering Solutions and Arizona State University. Intended to “unlock” LEO, the aim ...

This story continues at Blue Origin, Sierra Space make plans for Orbital Reef commercial space station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3blKjON
via Yuichun

2021年10月28日 星期四

Batwing distribution for horticultural leds

Osram has introduced horticulturall lighting leds with a ‘batwing’ output distribution. Implemented in Oslon Square Batwing, the distribution comes purely from a primry lens built into the pacakge. “Current LED fixtures often have a lambertian primary lens and no secondary optic, or a simple glass cover, which lead to hotspots with high concentration of light ...

This story continues at Batwing distribution for horticultural leds

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jLRiVU
via Yuichun

ST on-track for 23% growth in 2021 with revenue of $12.6bn

ST had Q3 revenues of $3.2 billion up 6.9% sequentially and 19.9% y-o-y. The gross margin was 41.6%, the operating margin was 18.9%, and net income was $474 million. “ The revenue performance was driven by strong global demand and by our engaged customer programs in Personal Electronics,” says CEO Jean-Marc Chery  (pictured), “Q3 operating ...

This story continues at ST on-track for 23% growth in 2021 with revenue of $12.6bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jFZEyj
via Yuichun

Renesas buys Celeno

Renesas has bought Israeli WiFi specialist Celeno Communications for $315 million. Celeno has chipsets for Wi-Fi 6 and 6E chip-sets and Wi-Fi Doppler Imaging technology – a Wi-Fi based, high-resolution imaging technology suitable for home elderly care and assisted living, home security, safe driving and digital and connected factories. Celeno has R&D staff  in Israel, ...

This story continues at Renesas buys Celeno

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BlFqzF
via Yuichun

Samsung to triple foundry capacity

Earlier today, Samsung said it plans to triple its foundry capacity by 2026. “We plan to expand our capacity about three times by 2026 to meet customers’ needs as much as possible by expanding capacity in Pyeongtaek as well as considering establishing a new plant in the U.S.,” says Samsung’s Han Seung-hoo. Han said that ...

This story continues at Samsung to triple foundry capacity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BiI4WV
via Yuichun

UK made: Raspberry Pi Zero W gets 5x computing power in version 2

Raspberry Pi has used system-in-package technology to boost processing power in its tiny Zero with a quad-core processor. Not to be confused with the similar-looking Compute Modules, the Zero is a full computer with an HDMI connector on-board. “Where our larger products have grown steadily more powerful over the years, we’ve never found a way ...

This story continues at UK made: Raspberry Pi Zero W gets 5x computing power in version 2

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Et68bR
via Yuichun

Sponsored Content – Production efficiency: all mechanical components for electronic devices in one place

Improving production efficiency is undoubtedly a goal of any company working in the electronics industry. However, the current unpredictable economic environment creates challenges that businesses are struggling to navigate on their own. As a result, they need to work with reliable partners to ensure production efficiency. Xometry, the world’s largest on-demand manufacturing marketplace, is one ...

This story continues at Sponsored Content – Production efficiency: all mechanical components for electronic devices in one place

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/30ZUk28
via Yuichun

EU opens prolonged investigation into Nvidia-Arm

As expected, the EU has decided to subject Nvidia’s bid for Arm to a prolonged investigation. ‘The EU has opened an in-depth investigation to assess the proposed acquisition of Arm by NVIDIA under the EU Merger Regulation,” says the Commission, “the Commission is concerned that the merged entity would have the ability and incentive to ...

This story continues at EU opens prolonged investigation into Nvidia-Arm

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZwISLg
via Yuichun

2021年10月27日 星期三

Geeky goodness at Intel

Intel reached out to its developers yesterday at the outset of its two day  Innovation 2021 series of presentations. As part of its ‘developer first’ approach, CEO Pat Gelsinger announced a unified Developer Zone, new oneAPI 2022 toolkits and new oneAPI Centers of Excellence designed to better enable developers to access reference designs, toolkits and ...

This story continues at Geeky goodness at Intel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bkFDsC
via Yuichun

GloFo IPOs and raises $2.6bn

Globalfoundries IPO’d on  the Nasdaq yesterday, raising $2.6 billion. Its shares begin trading today under the symbol GFS. GloFo and main shareholder Mubadala sold 55 million shares for $47 each – which was at the top of the offered range. Mubadala will control more than 89% of the company’s shares after the IPO. GloFo had ...

This story continues at GloFo IPOs and raises $2.6bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mmnOiV
via Yuichun

UK Space agency commits to space debris projects

The UK Space Agency has announced its commitment to a number of projects or initiatives designed to help tackle the issue of space debris. The three sets of projects to receive its backing address the issue of sustainable space operations and the problems caused by defunct and colliding satellites in orbit. Junk First, the space ...

This story continues at UK Space agency commits to space debris projects

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mjQaKG
via Yuichun

Samsung Q3 chip profits up 82% y-o-y

Samsung saw Q3 chip profits grow 82% y-o-y to $8.62 billion. Corporate Q3 profit was up 28% at $13.48 billion on revenues up 10% at $63 billion. “A longer-than-expected component supply issue may need to be monitored due to potential impacts”, said Samsung, adding that there was “strong fundamental demand for servers from increased investments ...

This story continues at Samsung Q3 chip profits up 82% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bkzYT6
via Yuichun

Guerrilla goes public

Guerrilla RF, the MMIC specialist, has gone public by implementing a reverse merger with Laffin Acquisition Corp,  a public Delaware corporation, following which  Laffin changed its name to Guerrilla RF. The exercise raised more than $7 million. Last year Guerrilla had sales of  $8.09 million representing sn increase of 990% in the past three years. ...

This story continues at Guerrilla goes public

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jF8kVy
via Yuichun

Europrocessor initiative taps Intel tech

SiPearl, the company that is designing the European supercomputers processor is , collaborating with Intel to provide a joint offering for the first European exascale supercomputers. The partnership will offer European customers the possibility to combine  SiPearl’s CPU Rhea with Intel’s family of general-purpose GPU Ponte Vecchio making a high- performance compute node fostering European ...

This story continues at Europrocessor initiative taps Intel tech

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vXVjeQ
via Yuichun

Current-to-bits converter fast enough for optical reflectometry

ADA4355 from Analog Devices is a current-input digital-output converter works with pulses down to 10ns for time-of-flight measurements using photo diodes. In a 12 x 6mm BGA package, the IC is actually a hybrid module combining transimpedance amplifier, analogue low-pass filter and 14bit 125Msample/s ADC converter. The output is through two serial LVDS (low-voltage differential signalling) lanes at ...

This story continues at Current-to-bits converter fast enough for optical reflectometry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EmUGOY
via Yuichun

Autumn Budget commits to increase R&D investment to £22bn

The Chancellor of the Exchequer Rishi Sunak has finished presenting his Autumn Budget and Spending Review 2021 to the House of Commons. Points he highlighted included a promised £22bn investment in Research & Development, a new investment fund for business growth and an updated R&D tax credits regime. “We are making this country a science ...

This story continues at Autumn Budget commits to increase R&D investment to £22bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BjHTuK
via Yuichun

Morris Chang says domestic US chip supply chain is impossible

Morris Chang (pictured) says the idea of building a US-based IC supply chain is impossible even if it spent much more than the $52 billion envisaged by the Chips Act. “If you want to reestablish a complete semiconductor supply chain in the U.S., you will not find it as a possible task,” said Chang in ...

This story continues at Morris Chang says domestic US chip supply chain is impossible

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jJTZqT
via Yuichun

InstaVolt selects Eseye connectivity for UK-wide EV charger network

UK electric vehicle charge-point provider InstaVolt has picked Eseye cellular IoT technology for its UK charger network. Eseye is a service-provider that can, automatically over-the-air, swap between network operators for IoT nodes that communicate over cellular networks. Its service works with special SIM card, dubbed ‘AnyNet+ eSIM’, and is built on the eUICC standard for network ...

This story continues at InstaVolt selects Eseye connectivity for UK-wide EV charger network

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bdfCer
via Yuichun

X-Fab adds 375V nmos and pmos super-junction transistors to BCD chip process

X-Fab Silicon Foundries has added 375V power transistors to the devices available from its 180nm deep trench isolation BCD-on-SoI platform chip fab. The second generation of its XT018 super-junction high-voltage primitive devices, they cover 45 to 375V in one process module and are aimed at applications like medical ultrasound transmitter-receiver ICs and AC line-powered IoT sensors. ...

This story continues at X-Fab adds 375V nmos and pmos super-junction transistors to BCD chip process

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3CwssAs
via Yuichun

2021年10月26日 星期二

Chip shortage may have peaked

According to Susquehanna, IC lead-times posted their smallest gain in  nine months in October. Overall times increased by one day in October to 21.9 weeks. However, while the lead times for PMIC and opto decreased, the lead time for MCUs increased by six weeks say the analysts. There’s reported to be a difference between suppliers. ...

This story continues at Chip shortage may have peaked

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BikiKP
via Yuichun

Flying motorbikes on sale

A.L.I. Technologies of Tokyo has developed the Xturismo flying motorcycle, reports the Nikkei. The bike can fly at a top speed of 100kph and can maintain  that speed for  40 minutes. It weighs 300 kg and can carry one person. It is powered by an internal combustion engine  driving two main propellers. The price for a ...

This story continues at Flying motorbikes on sale

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jFCND2
via Yuichun

O-S-D sales to grow 18%

Worldwide sales of optoelectronics, sensors/actuators, and discretes (O-S-D) are expected to grow 18% in 2021 to $104.3 billion from $88.3 billion in 2020, when this semiconductor market group increased by less than 3%, says IC Insights’ October Update to The McClean Report. Total O-S-D sales are forecast to rise 11% in 2022 to $115.5 billion ...

This story continues at O-S-D sales to grow 18%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3GpXzQU
via Yuichun

Digi-Key’s Scheme-it plots new schematic features

Digi-Key Electronics has added new features in its Scheme-it tool, a free online schematics and diagramming systems for engineers, teachers and students. They’re intended to help further simplify the designing, and sharing, of electronic circuit diagrams and schematics. Symbols and markup You can now use Ultra Librarian’s symbols, create your own customised versions and use ...

This story continues at Digi-Key’s Scheme-it plots new schematic features

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bbcxvE
via Yuichun

Automotive fan motor chip drives quietly for electric vehicles

For an automotive three-phase fan motor driver, Allegro has picked a control algorithm for quiet running in electric vehicles. Called A89307, it uses field-oriented control to drive continuous sinusoidal current to the load. “By design, electric and hybrid vehicles are quieter than traditional models with internal combustion engines, especially when they’re stopped, and drivers are becoming ...

This story continues at Automotive fan motor chip drives quietly for electric vehicles

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3beazKQ
via Yuichun

Amphenol M8x1 connectors for industrial Ethernet

Amphenol’s Conec range of M8x1 D-coded circular connectors are optimised for industrial automation applications. “These connectors present engineers with all the attributes necessary to meet the ISO11801 Class D standard for up to 100Mbit/s fast Ethernet signal transmissions,” according to RS Components, which is stocking the parts. They have 0.8mm diameter contact pins rated at up to ...

This story continues at Amphenol M8x1 connectors for industrial Ethernet

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jyxTYr
via Yuichun

30W dual output PCB-mount dc-dc converters

Flex Power Modules has added 30W dual output variants with 4:1 inputs to its PKE range of through-hole encapsulated board-mounted dc-dc converters. PKE532x series has an 18-75Vdc (100V peak) input range suitable for 24, 28 or 48 V nominals PKE332x series input range is 9-36Vdc (50V peak) Both parts are available with either ±12V or ...

This story continues at 30W dual output PCB-mount dc-dc converters

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vLT9yK
via Yuichun

Reed relays for high-voltage low-level switching

Pickering has introduced low-level switching variants of two of its siries of high-voltage reed relays. Modifications of the 119 and 131 series, both Series 119L and 131L relay ranges have been specifically developed for mixed-signal applications where low and stable contact resistance is important for the end user’s application. They have a slightly lower stand-off voltage than standard (up ...

This story continues at Reed relays for high-voltage low-level switching

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mfelKf
via Yuichun

Sneak peek into SiFive’s most powerful Risc-V yet

SiFive has briefly pulled back the curtains on its most powerful Risc-V processor yet. So far only called ‘Next Generation Core’ or Next-Gen, its official name, final design specs and availability will be unveiled early in December at the Risc-V Summit. The headline figure is that it will improve on the P550’s performance, currently SiFive’s most powerful ...

This story continues at Sneak peek into SiFive’s most powerful Risc-V yet

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vLEIun
via Yuichun

2021年10月25日 星期一

SEMI August billings up 1.7% y-o-y

The SEMI August billings figure of $3.72 billion is 1.7% higher than August 2021 billings of $3.66 billion and 35.5% higher than September 2020 billings of $2.74 billion. ”Monthly billings of North America-based semiconductor equipment manufacturers edged up in September to near the record high set in July,” says SEMI CEO Ajit Manocha,  “the ongoing ...

This story continues at SEMI August billings up 1.7% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mcTMOv
via Yuichun

Satellite Vu raises funding for thermal building footprints

The UK satellite company Satellite Vu, which aims to monitor the thermal footprint of any building on the planet, has raised £15m in Series A funding. Seraphim, the London-based investment group that has a focus on the Space sector, has highlighted this is Satellite Vu’s second successful raise in the last six months, for a total ...

This story continues at Satellite Vu raises funding for thermal building footprints

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nA0U7o
via Yuichun

Infineon integrates USB-C controller and connector

Infineon’s EZ-PD BCR (Barrel Connector Replacement) is an integrated USB-C controller and USB-C connector. It replaces barrel connectors, custom connectors or legacy USB connectors in electronic devices. It supports the USB Power Delivery (PD) standard that interoperates with all USB-C power adapters without the need of firmware development. The EU’s  Revised Radio Equipment Directive proposes to standardise ...

This story continues at Infineon integrates USB-C controller and connector

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3B9uwx2
via Yuichun

FlexLogix to ship PCIe accelerator board in November

  FlexLogix,  the eFPGA IP specialist, has production availability of its InferXÔ X1P1 PCIe accelerator board. The board is designed to bring AI inference acceleration to edge servers and industrial vision systems. Leveraging a dynamic TPU array architecture, the InferX X1 is designed around low latency processing of Batch=1 workloads with a special focus on ...

This story continues at FlexLogix to ship PCIe accelerator board in November

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Cftil3
via Yuichun

Rohm and Zhenghai to make SiC power modules in Shanghai

Zhenghai Group and Rohm have signed a joint venture agreement to establish a silicon carbide power module business in Shanghai. Haimosic (Shanghai) will be founded in December and be 80% owned by Shanghai Zhenghai Semiconductor Technology from Zhenghai Group and 20% by Rohm. It will “engage in the business of development, design, manufacturing and sales ...

This story continues at Rohm and Zhenghai to make SiC power modules in Shanghai

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jCca1F
via Yuichun

GMR reduces jitter in rotary sensing compared with Hall

Allegro Microsystems is advocating GMR – giant magneto-resistive – effect sensors instead of Hall effect for automotive rotary wheel position sensing. The company, which makes both Hall and GMR sensors, argues that GMR has lower noise and therefore reduces angular jitter when measuring the position of rotating shafts. “GMR is fundamentally a lower-noise magnetic sensing ...

This story continues at GMR reduces jitter in rotary sensing compared with Hall

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BdHN7Q
via Yuichun

Four-slot industrial PC is ready for 5G comms

Axiomtek’s IPC970 is a four-slot industrial PC for Intel Comet Lake S processors: Xeon or 10th generation Core i7/i5/i3 processors up to 80W, with Intel’s W480E chipset. Nvdia’s high-end GeForce RTX 3090 graphics card is supported, which has 10,496 CUDA cores and 24Gbyte of GDDR6X memory. The PC has one I/O module slot (’11’ in diagram), ...

This story continues at Four-slot industrial PC is ready for 5G comms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Gj7pnC
via Yuichun

Sponsored Content – Comarch’s role in the certification organization environment

Comarch’s role in the certification organization environment For 28 years, Comarch has helped clients of major Polish and international brands reach higher productivity thanks to implementing innovative IT solutions. We are a company with a strong technical background and global presence. We generate USD 380 million revenue, employ 6500 experts, have 57 subsidiaries and affiliates, ...

This story continues at Sponsored Content – Comarch’s role in the certification organization environment

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nwdbtw
via Yuichun

Intel bets the company

Intel is betting the company. In the Q3 results presentation CEO Pat Gelsinger (pictured) said that 2022 revenue will shrink from $77.7 billion to around  to $74 billion and gross margins will be down to 51- 53% for the next few years while capex will run at $25-$28 billion and R&D costs will be around $15 billion. ...

This story continues at Intel bets the company

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vImDxe
via Yuichun

Kyocera to double production capacity for ceramic components

Kyocera will build two additional production facilities at its Kokubu Plant Campus in Kagoshima, Japan. The new facilities will double the campus’ production capacity for fine ceramic components used in semiconductor manufacturing equipment, while securing space for other manufacturing as Kyocera’s business expands.  The growth of IoT and 5G telecommunications services is fueling demand for ...

This story continues at Kyocera to double production capacity for ceramic components

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pNQ8gz
via Yuichun

IMUs on a 5% 2019-26 CAGR

The consumer Inertial Measurement Unit (IMU) market is expected to reach $838 million in 2026, according to Yole Développement (Yole), with a 2019-26 CAGR of 5%. ST is the leader in IMUs integrated into smartphones, with 43% of the total smartphones surveyed. Bosch and Invensense follow, with 22% respectively. These top three manufacturers use different ...

This story continues at IMUs on a 5% 2019-26 CAGR

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jtAItN
via Yuichun

Lockheed Martin signs up for Starlab commercial space station

Lockheed Martin, Nanoracks and Voyager Space are collaborating on the development of a commercial space station – Starlab – which would be continuously crewed and support in-space research and commercial activity. Lockheed Martin has announced its involvement and Starlab – operating in low-Earth orbit – is planned to achieve initial operational capability by 2027. The ...

This story continues at Lockheed Martin signs up for Starlab commercial space station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Zjm55y
via Yuichun

2021年10月22日 星期五

UK made: E3D wins workforce growth award

Oxfordshire-based 3D printer component supplier E3D has won the ‘Growing the workforce’ award in the Thames Valley SME 100 Growth Index, following runner-up position in 2020. “We’re absolutely delighted to receive a TV SME 100 Growth award, and particularly this one: our workforce is absolutely essential to our success,” said E3D head of product strategy ...

This story continues at UK made: E3D wins workforce growth award

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ZjV6qm
via Yuichun

UK made: III-V Epi at UK National Quantum Technologies Showcase

Glasgow-based III-V Epi will promote its MBE and MOCVD epitaxial manufacturing services at the UK National Quantum Technologies Showcase. Held in London on 5th November, the showcase is supported by Innovate UK and the Knowledge Transfer Network, and will include over eighty UK exhibitors with high ‘technology readiness level’ quantum technologies. “The MBE and MOCVD, ...

This story continues at UK made: III-V Epi at UK National Quantum Technologies Showcase

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vyVoW6
via Yuichun

New CEO at Plextek

Brent Hudson has taken over as CEO of Plextek Services. Previously CEO of street lighting company Telensa, Hudson has 25 years at senior management and board level with companies such as Sagentia, QinetiQ, and Tality, said Plextek: “Hudson’s skillset and market knowledge will enable Plextek to grow its UK and international client base.” “Having worked with Brent when he was CEO of Telensa, I know that we can harness his ...

This story continues at New CEO at Plextek

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pqraDy
via Yuichun

Fibre splicer automates more for rapid installation

Fujikura Europe has launched its most compact active cladding alignment fusion splicer, engineered for network installers. Called 41S+, it borrows ‘active fusion control and ‘active blade management’ from the company’s flagship 90S+ model. Active fusion control activates when a cleave end face is bad quality, and automatically adjusts settings to improve fusion stability and decrease splice ...

This story continues at Fibre splicer automates more for rapid installation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3b7DEaF
via Yuichun

Level-shifting IO expanders are automotive compliant

Diodes has introduced a pair of level-shifting IO port expanders with automotive qualification to AEC-Q100 Grade 2. Both interface to the host controller though a variable voltage I2C interface and interface to the outside world thorough variable voltage IO pins. The thresholds for the I2C-bus interface are set by a reference voltage input, intended to be ...

This story continues at Level-shifting IO expanders are automotive compliant

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Ckqeo5
via Yuichun

2021年10月21日 星期四

Crypto Quantique and Macronix hook up on QuarkLink

Crypto Quantique, a specialist in quantum-driven cyber security for the internet of things (IoT), announces a partnership with flash manufacturer  Macronix to add the QuarkLink IoT security management platform to the Macronix ArmorFlash ecosystem. This will enable Macronix to offer its customers an end-to-end security solution for provisioning, onboarding, and lifetime management of IoT devices ...

This story continues at Crypto Quantique and Macronix hook up on QuarkLink

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XAVtvV
via Yuichun

Brainchip shipping Akida development kit

BrainChip  is taking orders of two development kits for its Akida neural networking processor, enabling partners, large enterprises, and OEMs to begin internal testing and validation of Akida’s chip. Akida NSoC and intellectual property enable a wide array of edge AI capabilities that include continuous learning and inference. BrainChip is offering two development kits both ...

This story continues at Brainchip shipping Akida development kit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ps4Zgq
via Yuichun

Infineon and Picovoice co-developing voice platform

Infineon and Picovoice are jointly developing an end-to-end voice platform that brings voice AI to edge devices. This collaboration enables smart voice solutions in ultra-low power IoT devices using Infineon’s PSoC 6 microcontrollers (MCUs). This gives designers an alternative approach to evaluating and deploying wake-phase and intent recognition on the company’s PSoC 6 products. The joint effort ...

This story continues at Infineon and Picovoice co-developing voice platform

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Gbr6xh
via Yuichun

Intelsat, Eutelsat CEOs step down

Both the Intelsat and Eutelsat CEOs are stepping down from their roles, the companies have separately announced. Intelsat, the Virginia-based communications satellite services provider that was formerly an intergovernmental consortium, has announced the planned retirement of Stephen Spengler. He has served as CEO for the last six and a half years, having worked at Intelsat ...

This story continues at Intelsat, Eutelsat CEOs step down

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3B4CZ4s
via Yuichun

Paragraf launches graphene sensors

Paragraf, the Cambridge graphene component specialist, has announced the availability of a new sensor range capable, it claims, xof unmatched sensitivity and linearity when placed in low temperature environments and in strong magnetic fields. Tested at the High Field Magnetic Laboratory (HFML) at Radboud University Nijmegen, the GHS-C sensors support operation in magnetic fields up ...

This story continues at Paragraf launches graphene sensors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XxWwMW
via Yuichun

Triboelectric generator mimics seaweed to extract electricity from waves

Triboelectric nanogenerators (TENGs) could extract energy from waves large and small, even well under water, according to Chinese scientist. The seaweed-like proof-of-concept generators were ~40 x 80mm, and attached at one end to somethign solid while currents in the water wafted the free end. They were made by coated two strips of different polymers with conductive ...

This story continues at Triboelectric generator mimics seaweed to extract electricity from waves

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3b0alag
via Yuichun

MCUs to meet BLE 5.3

Renesas is developing new MCUs that will support the recently released Bluetooth 5.3 Low Energy (LE) Specification. The new devices will be part of the Renesas Advanced (RA) Family of 32-bit Arm Cortex-M microcontrollers, joining the RA4W1 Bluetooth 5.0 LE device introduced last year. Renesas expects to have first samples of the new MCUs in the ...

This story continues at MCUs to meet BLE 5.3

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aYQBnk
via Yuichun

Clamping diodes for USB4

Nexperia is aiming at protecting USB4 with a pair of semiconductor clamping chips – effectively back to beck Zeners, but built around active silicon-controlled rectification. “Since there are tight budgets on insertion loss and return loss for the USB4 Super Speed lines, Nexperia is offering devices that minimise the impact of ESD protection on the ...

This story continues at Clamping diodes for USB4

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nwDZKb
via Yuichun

2021年10月20日 星期三

Imec and Europractice announce GaN challenge winners

Imec and Europractice have announced the winners of their 2021 GaN-IC design contest. The contest aims to encourage innovation in power electronics applications using imec’s GaN technology for monolithic integration of power electronics circuits. The prizewinning project entitled “High voltage half-bridge with integrated drivers and control circuits – all Gallium Nitride” was submitted by a team of researchers from the Chair of Integrated Analog Circuits and RF Systems of RWTH – Aachen University. Proposals submitted by ESAT-MICAS from KU Leuven and Leibniz ...

This story continues at Imec and Europractice announce GaN challenge winners

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lZS4Qz
via Yuichun

Architecture for System 3 & 4 automated driving

TTTech Auto and Infineon Technologies have developed key components for a fail-operational electronic architecture for the automated driving of SAE Level 3 and 4. This enables processing of, for example, radar or LiDAR camera sensor data and is targeting car and technology manufacturers. The fail-operational architectural concept and its key components ensure the safe operation ...

This story continues at Architecture for System 3 & 4 automated driving

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3B1GY1F
via Yuichun

Holyrood launches Scottish Space Strategy for growth

Creating 20,000 jobs and achieving a £4 billion share of the global space market is the ambitious goal of a Scottish Space Strategy published by the devolved Scottish Government. Announced yesterday, it involves collaboration between the Scottish Government and its enterprise agencies, industry group Space Scotland and the Scottish Space Academic Forum. Scottish Space Strategy ...

This story continues at Holyrood launches Scottish Space Strategy for growth

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nhXLZL
via Yuichun

Flash price to ease

NAND contract prices are expected  drop 0-5% QoQ in 4Q21 as demand slows, according to TrendForce. Suppliers are likely to downsize their capacity expansion activities for 2022, resulting in a 31.8% YoY increase in bit supply next year. Annual bit demand, on the other hand, is projected to increase by 30.8% YoY. YoY growth of ...

This story continues at Flash price to ease

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z8jgU6
via Yuichun

Conrad stocks every Arduino model

Conrad has become an authorised distributor of Arduino products, stocking the whole product line – boards, modules, shields and kits – rather that the selection of parts that it had been carrying. “Working together now also means each and every new product will be available from Conrad on the very day it’s being released,” said ...

This story continues at Conrad stocks every Arduino model

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3naOpi7
via Yuichun

Kontron takes Raspberry Pi into Industry 4.0 with Codesys

Industrial control language Codesys will now run on Kontron’s Raspberry Pi compute module-based Pi-Tron CM3+ PCB computer (pictured). “The integrated development environment Codesys for programmable logic controllers according to the IEC 61131-3 standards is hardware-independent software for application development in industrial automation,” according to Kontron. “Thanks to its open interfaces and security features, Codesys has ...

This story continues at Kontron takes Raspberry Pi into Industry 4.0 with Codesys

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3G7PJuM
via Yuichun

Protected: Sponsored Content – YMIN Supercapacitor Modular Provide Energy Saving And Cost Effective For Automatic Storage and Logistics

There is no excerpt because this is a protected post.

This story continues at Protected: Sponsored Content – YMIN Supercapacitor Modular Provide Energy Saving And Cost Effective For Automatic Storage and Logistics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lXOne2
via Yuichun

Heilind signs Sensata-Crydom for solid-state relays

Heilind has signed a global agreement to distribute Sensata-Crydom solid-state relays and its other products. “For more than 40 years, Sensata-Crydom has delivered proven solid-state relay solutions to customers around the world,” According to Heilind. “Heilind will stock a range of solid state relays, contactors and power modules, as well as custom-designed solid state switching ...

This story continues at Heilind signs Sensata-Crydom for solid-state relays

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DZ3iuY
via Yuichun

Information packages from Microchip ease ISO 26262 applications

Microchip is offering information packages assist the development of products to ASIL B and ASIL C automotive functional safety levels of ISO 26262. “As the level of sophistication and electronics increases in cars, functional safety requirements are becoming much more rigorous in automotive designs,” said Microchip v-p Joe Thomsen. “To help our clients develop safety ...

This story continues at Information packages from Microchip ease ISO 26262 applications

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3n5vbum
via Yuichun

24A connector strip snaps to length for R&D or short production runs

Hylec-APL has introduced a 24A PCB-mount rising-clamp connector strip that can be snapped into different lengths, describing it as: “particularly useful and cost-effective for R&D projects and short production runs”. Called DTBN7001, the strips are 36 poles long, although can be supplied pre-shortened, and connect to the PCB through 5mm-pitch pins (4.5mm long, 1.3mm diameter ...

This story continues at 24A connector strip snaps to length for R&D or short production runs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ATAKAR
via Yuichun

GloFo files to raise $2.6bn in IPO

GlobalFoundries  has filed with the US SEC to IPO on the Nasdaq. GloFo is to sell 33 million shares and major shareholder Mubadala is to sell 22 million shares for between $42 and $47 a share in an attempt to raise $2.6 billion. If $47 is achieved that would put a value on GloFo of ...

This story continues at GloFo files to raise $2.6bn in IPO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z0PMaS
via Yuichun

A mission to democratise 5G

Wirepas, the Finnish non-cellular 5G pioneer, has had its technology recognised as a 5G standard by the International Telecommunication Union’s Radiocommunication Sector (ITU-R). Wirepas, which says it is on a mission to democratise IoT, is the key contributor of the new standard that sets an example of future connectivity: the infrastructure-less and autonomous, decentralized technology is designed for massive IoT networks for enterprises. It has no single points of failure ...

This story continues at A mission to democratise 5G

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3G55DX2
via Yuichun

DSTL partners with U.S. on ML support for armed forces

The UK’s Defence Science and Technology Laboratory (DSTL) is to co-operate with its U.S. equivalent to better support armed forces with AI technologies. It has announced a partnership with the U.S. Air Force Research Laboratory (AFRL) to jointly develop, train, and deploy machine learning (ML) algorithms for the armed forces of both nations. In the ...

This story continues at DSTL partners with U.S. on ML support for armed forces

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ngmCgy
via Yuichun

Verification software accelerated Arm IP validation by 1,000x

Siemens Digital Industries Software announced today that Arm used Siemens’ machine learning (ML)-powered Solido Variation Designer software to improve IP validation runtime by 1,000X compared to traditional statistical methods, while achieving greater accuracy and coverage. The acceleration enabled Arm to verify its standard cell IP to Six Sigma, while significantly improving validation runtime. “Improving compute ...

This story continues at Verification software accelerated Arm IP validation by 1,000x

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XrdjkK
via Yuichun

2021年10月19日 星期二

Arrow signs IMD Technology for NXP i.MX 8 Plus system-on-modules

Arrow Electronics has signed an agreement to distribute IMD Technologies’ systems-on-module worldwide. The modules are based on NXP i.MX 8 Plus applications processors and are customisable in both hardware and software. “IMDT SoM solutions support real-time operations and provide high-performance and processing speeds while minimising power consumption,” according to Arrow, which predicts use in 3D ...

This story continues at Arrow signs IMD Technology for NXP i.MX 8 Plus system-on-modules

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aPTOFx
via Yuichun

Infineon adds Matter smart home support for PSoC 6 and Airoc product lines

Infineon has announced software support the ‘Matter’ smart home protocol. “Many smart home products use Infineon’s Airoc Wi-Fi, Airoc Bluetooth, and PSoC 6 microcontroller products,” according to the company. “In this regard, support for Matter in Infineon’s ModusToolbox software and tools is an important milestone for the adoption of the emerging standard.” Matter came out of the ...

This story continues at Infineon adds Matter smart home support for PSoC 6 and Airoc product lines

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3AUhjIo
via Yuichun

BCN3D replaces its 3d printing cloud software

Spanish maker of professional 3D printers BCN3D has replaced its cloud-based 3d printer management software, following its acquisition of AstroPrint in July. “The new cloud is based on the software powering the AstroPrint Platform,” BCN3D chief software engineer Daniel Arroyo told Electronics Weekly. “It adds a design library, queueing system and more analytic, and the ...

This story continues at BCN3D replaces its 3d printing cloud software

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DWot0x
via Yuichun

JAE recognises TTI as EMEA’s fastest growing distributor of the year

Connector and cable manufacturer, Japan Aviation Electronics (JAE) has awarded TTI its EMEA Fastest Growing Distributor 2020 award. The award is in recognition of the distributor’s “2020 outstanding performance across EMEA” with design-in activities, which have resulted in “exceptional growth” for JAE. The distributor stocks JAE products for factory automation, robotics, test and measurement, e-mobility, mobile ...

This story continues at JAE recognises TTI as EMEA’s fastest growing distributor of the year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lUnjfI
via Yuichun

Protected: Sponsored Content : VHT Series 125℃ 4000hrs – YMIN Newly launched Hybrid Polymer Capacitor For Automotive Application

There is no excerpt because this is a protected post.

This story continues at Protected: Sponsored Content : VHT Series 125℃ 4000hrs – YMIN Newly launched Hybrid Polymer Capacitor For Automotive Application

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3navZ0T
via Yuichun

3d printed PCB heading for space

A 3D printed PCB is to fly on the Wisa Woodsat wooden satellite mission. “ESA had the opportunity to contribute to Woodsat’s payload and we requested Zortrax to manufacture one of the payload functional part we designed using PEEK,” said ESA engineer Ugo Lafont. “We had very tight time constraints and the use of dual ...

This story continues at 3d printed PCB heading for space

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z4N7gb
via Yuichun

200MHz Cortex-M4 MCUs suit office equipment and building automation

20 more 200MHz 40nm Arm Cortex-M4 microcontrollers, announced earlier this year, are now available form Toshiba. They have a floating point unit, 512k – 2Mbyte code flash and 32kB data flash with 100k write cycle endurance, and 228 to 256kbyte SRAM with parity, plus 2kbyte backup RAM. Interface options include: Ethernet, CAN and USB 2.0 FS ...

This story continues at 200MHz Cortex-M4 MCUs suit office equipment and building automation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DTQUft
via Yuichun

Current sensor suits rail transport track-side installations

LEM has introduced a current transducer series for ac, dc and pulsed rail trackside applications. Called the HTRS-series, they will be available in three current ranges: 10A, 30A and 50A, with peaks up to twice the nominal value, and have a 6kV insulation test voltage. Size is 72 x 29 x 26mm and mounting adapters, ...

This story continues at Current sensor suits rail transport track-side installations

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aVEAig
via Yuichun

2021年10月18日 星期一

Imec licenses Covid detection technology

Imec and Imec spin-off miDiagnostics have signed a non-exclusive licensing agreement for Imec’s patented technology whereby aerosols and droplets from exhaled breath are captured for screening for viral RNA through miDiagnostics ultrafast PCR technology. The agreement enables miDiagnostics to kickstart the commercialization of a COVID-19 breathalyzer (as opposed to classical COVID-19 testing methods based on ...

This story continues at Imec licenses Covid detection technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DQTwuI
via Yuichun

Wafer shipments up 13.9% to record high

Silicon wafer shipments are projected to register robust growth through 2024, with wafer area increasing 13.9% year-over-year in 2021 to a record high of nearly 14,000 millions of square inches (MSI), reports SEMI in its annual silicon shipment forecast for the semiconductor industry. “We are seeing a significant increase in silicon shipments driven by strong ...

This story continues at Wafer shipments up 13.9% to record high

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vnIQRn
via Yuichun

Digi-Key Marketplace adds QuickLogic FPGA technology

Digi-Key Electronics and the FPGA and embedded FPGA IP company QuickLogic are partnering to sell IoT and AI technology through the DigiKey Marketplace. Specifically, the agreement covers QuickLogic’s low power, multi-core MCU, FPGAs and embedded FPGAs, voice and sensor processing products. For example, included are the EOS S3 MCU Sensor Processing Platform, EOS S3-AI, EOS ...

This story continues at Digi-Key Marketplace adds QuickLogic FPGA technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3naRYVP
via Yuichun

TSMC Q3 up 22.6%

TSMC had Q3 revenue of  $14.88 billion which was up 22.6% y-o-y and 12% up on Q2. Q3 profit of $5.57 billion was up 14% y-o-y. Gross margin for the quarter was 51.3%, operating margin was 41.2%, and net profit margin was 37.7%. In the third quarter, shipments of 5-nanometer accounted for 18% of total ...

This story continues at TSMC Q3 up 22.6%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Z59fHG
via Yuichun

Native red InGaN microdisplay, from Cambridge

University of Cambridge spin-out Porotech has built what is said is the world’s first micro-display that emits red natively from indium gallium nitride – meaning that no phosphors are involved. The 960 x 540 display measures 14mm (0.55in) across the diagonal. “Until now, it has only been possible to produce blue and green micro-displays using GaN-based light-emitting ...

This story continues at Native red InGaN microdisplay, from Cambridge

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vnJ9vn
via Yuichun

Arm offers cloud-based virtual Cortex-M hardware, and a security framework

To allow early software development, Arm is offering cloud-based simulation of some of its standard Cortex-M SoC configurations. At the same time, it has created a standards-based model for creating secure IoT devices built around Cortex-M processors. Cloud-based SoC emulation for Cortex-M Dubbed ‘Arm Virtual Hardware’, the cloud-based simulators are aimed at software developers, OEMs ...

This story continues at Arm offers cloud-based virtual Cortex-M hardware, and a security framework

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3jfYjxT
via Yuichun

UK made: PragmatIC raises $80m for production line in North East

PragmatIC Semiconductor has raised $80m to build a second manufacturing line in the North East of England. “This Series C round is a testament to the potential for our technology,” said CEO Scott White. “Our FlexLogIC-002 fab will deliver significantly higher capacity than our first line. In addition to supporting our commercial ramp, it provides ...

This story continues at UK made: PragmatIC raises $80m for production line in North East

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3aOd86g
via Yuichun

2021年10月17日 星期日

9.8% CAGR 2020-26 for camera modules

The global camera module revenue should reach $59 billion in 2026 from $34 billion in 2020, says Yole Développement, with a 9.8% CAGR between 2020 and 2026.  The mobile CCM (Compact Camera Module) market segment is showing a 9.8% CAGR, against 14% for the automotive CCM market segment, which is becoming a significant sub-market.  The ...

This story continues at 9.8% CAGR 2020-26 for camera modules

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3AQuxFZ
via Yuichun

Echolocation sensor mimics bats

Six year-old  Toposens of Munich is shipping its Ultrasonic Echolocation Sensor for 3D Collision Avoidance. The industrial grade sensor development kit, called ECHO ONE DK, is based on the company’s 3D ultrasonic technology, which mimics a bat’s echolocation techniques. Unlike existing sensor technologies that can be negatively impacted by lighting conditions or moisture (such as fog), ...

This story continues at Echolocation sensor mimics bats

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3n2pJsj
via Yuichun

ICL magnetometer to help NASA’s IMAP mission study solar wind

Imperial College London (ICL) is to design and build an instrument for NASA – a magnetometer – for its heliophysics mission, the Interstellar Mapping and Acceleration Probe (IMAP). The university will also provide ground support and personnel to support the instrument and the IMAP science team. The boost for ICL follows an agreement between NASA ...

This story continues at ICL magnetometer to help NASA’s IMAP mission study solar wind

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vnIERX
via Yuichun

Viewpoint: Tesla v Rivian, Automotive trade secrets in the spotlight

In a high-profile US court action, Tesla is suing its Silicon Valley rival, Rivian, for the alleged theft of “highly-proprietary trade secrets”, writes Diego Black a partner and patent attorney in Withers & Rogers. According to court papers submitted by Tesla since the case was filed last year, it is alleged that over 70 employees ...

This story continues at Viewpoint: Tesla v Rivian, Automotive trade secrets in the spotlight

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YYdGUg
via Yuichun

Plenoptic camera monitors industrial processes

Researchers at Fraunhofer IZM have joined forces with TecVenture, Optrontec and KAIST to create a high-speed camera fitted with a unique multi-lens array that can capture images with a far greater depth of field than its conventional counterparts. The system is suitable for efficient damage analytics in industrial use or for many research activities. As ...

This story continues at Plenoptic camera monitors industrial processes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mWwHic
via Yuichun

2021年10月15日 星期五

UK made: Saietta turns to Sensor Technology for electric motor instrumentation

When it needed wireless torque sensors, Oxfordshire-based Saietta turned to Sensor Technology, based just down the road in Banbury. Saietta is a developing electric motor intellectual property for light-weight electric vehicle propulsion. Its ‘S-AC’ motor is a brushless axial-flux AC machine (right), designed for mass manufacture and optimised for vehicles such as scooters, motorbikes, quadbikes and ...

This story continues at UK made: Saietta turns to Sensor Technology for electric motor instrumentation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YUpneD
via Yuichun

3.5W current sense resistors in 2512 SMD package

Stackpole Electronics is aiming at consumer and industrial electronic devices with a surface-mount 3.5W current-sensing resistor. Called CSRT2512-UP, it comes in a 2512 case size – 6.3 x 3.2 x 0.7mm. “The AEC-Q200 compliant CSRT2512-UP has unique thin film material properties and processing to provide higher continuous power ratings and higher pulse power ratings than other thin ...

This story continues at 3.5W current sense resistors in 2512 SMD package

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3AQqU2Y
via Yuichun

NGK Insulators plans European plant switch to renewable energy

NGK Insulators, makers of EnerCera batteries, is to switch its European manufacturing facilities to renewable electricity by January 2022 as part of a plan to convert all of its non-Japanese bases to renewable energy by the end of fiscal 2025. NGK Ceramics Europe in Belgium will use completely green power, “procuring electricity generated through wind power ...

This story continues at NGK Insulators plans European plant switch to renewable energy

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DMwjtM
via Yuichun

300W medical PSU uses GaN for compactness

Hot on the heels of CUI, Trumpower has introduced a brick-style 300W ac-dc adapter built around GaN transistors. This time for medical users. TGM300 series power adapters are Class II for IEC 60601-1-11 home healthcare needs. “The GaN FET line achieves a power density greater than 9W/in3 and has a withstand voltage of 4,000Vac from ...

This story continues at 300W medical PSU uses GaN for compactness

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lJjYQQ
via Yuichun

Light Science Technologies floats to increase manufacturing capacity of its CEM division

Light Science Technologies Holdings is to float on the London Stock Exchange to increase the manufacturing capacity of its contract electronics manufacturing division, and strengthen its position in the agricultural lighting. Trading on AIM under ‘LST’, the group raised £5m in October. “We are delighted to be trading on the London Stock Exchange through our ...

This story continues at Light Science Technologies floats to increase manufacturing capacity of its CEM division

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3AKH1yE
via Yuichun

Inmarsat to provide satellite backbone for Hiber’s IoT services

Hiber, the Industrial IoT-as-a-Service company, has turned to Inmarsat to provide the satellite connectivity backbone for its own low-cost, low-power network for IoT offering. The recently announced agreement pairs Inmarsat’s ELERA L-band network – a global satellite network for IoT – with Hiber’s IoT-as-a-service ecosystem. This is aimed at transport, logistics, agriculture and mining industries. ...

This story continues at Inmarsat to provide satellite backbone for Hiber’s IoT services

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mYZ3II
via Yuichun

ST and Sierra add connectivity to STM32 MCUs

ST and Sierra Wireless  have enabled the STM32 MCU user community to leverage flexible cellular IoT connectivity and edge-to-cloud technology from Sierra Wireless. The agreement helps developers tackle the diverse challenges involved with creating and deploying IoT solutions, including device design and development, enrollment with a cellular network, and connection to cloud services, enabling a quicker ...

This story continues at ST and Sierra add connectivity to STM32 MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DOzuBb
via Yuichun

2021年10月14日 星期四

Fraunhofer to deploy €4.5m on academia-industry knowledge transfers

The Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) and the Fraunhofer-Gesellschaft are funding five trilateral projects for the transfer of knowledge from DFG-funded basic research to industry. The collaboration between universities, Fraunhofer institutes and companies is being supported with a total of around €4.5 million over three years. One of the projects is the “MEMS-based parametric ...

This story continues at Fraunhofer to deploy €4.5m on academia-industry knowledge transfers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3FPf4JV
via Yuichun

Semtech upgrades LoRaWan developer portal

Semtech has upgraded the LoRa Developer Portal, which makes it easier for developers, to quickly build IoT devices connected with the LoRaWAN standard. The updated portal gives developers low-risk avenues for building with LoRa. With kits and tutorials, developers can create prototypes quickly and cost effectively to see how LoRaWAN can work for their IoT ...

This story continues at Semtech upgrades LoRaWan developer portal

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mT35T3
via Yuichun

‘Magic bookmark’ electronically-enables plain paper books

University of Surrey researchers have been inventing ways to get electronic activity into every page of plain paper books, and have come up with two forms of ‘magic bookmark’ as they have dubbed them. Developed at Surrey’s Advanced Technology Institute and its Digital World Research Centre, the idea is that the bookmark communiates with a phone app via a ...

This story continues at ‘Magic bookmark’ electronically-enables plain paper books

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3BKcXoa
via Yuichun

DVCon Europe 2021 announces extra keynotes and a VR format

The Design and Verification Conference and Exhibition (DVCon Europe) takes place on Tuesday and Wednesday 26-27 October and SystemC Evolution Day will be Thursday 28 October. The event, sponsored by Accellera Systems Initiative, the EDA standard organisation, will be a 3D VR environment. Avatars will mingle in a virtual conference centre, with tantalising glimpses of ...

This story continues at DVCon Europe 2021 announces extra keynotes and a VR format

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oWUqlc
via Yuichun

Screen-printing flexible microwave dielectrics and conductors for mmW and 5G comms

Metallic inks for printing flexible conductors are well know, but how about inks for printing flexible microwave dielectics? Researchers at King Abdullah University of Science and Technology (KAUST) have developed a dielectric ink from the polymer ABS and ceramic particles. By screen-printing it onto glass, and then peeling off the dried result, flexible large-area dielectics of ...

This story continues at Screen-printing flexible microwave dielectrics and conductors for mmW and 5G comms

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3j1BRIQ
via Yuichun

NB-IoT monitors Dorset landslides

Vodafone’s NB-IoT technology is being used to detect landslides along the Dorset coast and supply data to local farmers on cows, soil, and tractors. Sensors connected to Vodafone’s NB-IoT will allow academics, businesses, and local authorities to adopt smarter approaches to monitoring environmental and public safety issues. The technology is being used initially in two pioneering trials ...

This story continues at NB-IoT monitors Dorset landslides

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YRybCx
via Yuichun

Need to store data for a thousand years?

Researchers at Harvard University are looking for a simple way to store data for a long time – longer than the decades of life preducted for DVDs and flash memory. Encoding data on DNA has been done, as it has on other long-chain polymers with long life, and the data desnity is emormous: ~1018 bytes/mm3, according ...

This story continues at Need to store data for a thousand years?

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3azFl0D
via Yuichun

New Yorker Electronics acquires Omni Pro Electronics

Pursuing a growth by acquisition strategy, New Yorker Electronics, has announced the acquisition of Texas-based electronic component distributor, Omni Pro Electronics. “The purchase of Omni Pro is an integral component of our aggressive acquisition plan,” said Barry Slivka, president of New Yorker Electronics. The company is actively identifying companies that fit its acquisition model, he added. ...

This story continues at New Yorker Electronics acquires Omni Pro Electronics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3AFhkjk
via Yuichun

Farnell stocks Advanced Energy’s Excelys low voltage power supplies

The modular and configurable power supplies are designed for integration into mission-critical systems. Among the range available for fast delivery from Farnell are the UltiMod series of UX4 and UX6 AC-DC modular power supplies. They have up to 91% efficiency and low acoustic noise. The UX4 delivers up to 600W and can be populated with up ...

This story continues at Farnell stocks Advanced Energy’s Excelys low voltage power supplies

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3vcAzzr
via Yuichun

2021年10月13日 星期三

The Importance of Taiwan

  As of December 2020, Taiwan held the largest share of IC industry capacity of any country or region in the world, says IC Insights.  Combined with China’s IC capacity, the share of IC capacity within the borders of China and Taiwan would represent about 37% of global IC capacity, about 3x the amount of ...

This story continues at The Importance of Taiwan

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YKMloK
via Yuichun