2018年7月31日 星期二

IC market tracking global GDP more closely

The correlation coefficient between global GDP and the IC market will rise to 0.95 between 2018 and 2022 up from 0.88 in the 2010-2017 time period, says IC Insights. IC Insights depicts the increasingly close correlation between worldwide GDP growth and IC market growth through 2017, as well as its forecast through 2022, in Figure ...

This story continues at IC market tracking global GDP more closely

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v4Hahq
via Yuichun

TCL comes to UK

TCL, the world’s third largest TV manufacturer, has  entered the UK market with its UK debut range now available in retail channels and fully supported. “We see the UK market as one of great opportunity for TCL,” says TCL’s UK boss Bernie Chen. TCL entered the European market by partnering withThomson in France in 2004 ...

This story continues at TCL comes to UK

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2vnYOfv
via Yuichun

Connector sets compliant with VITA 42 XMC.

Samtec has launched connector sets compliant with the revised ANSI/VITA 42.0-2016 XMC Standard. VITA 42 XMC defines an open standard for supporting high-speed, switched interconnect protocols on an existing, widely deployed form factor. This revision further defined the concept for the preferred Standard connectors in XMC applications including: Solder ball attachment replaced Paste-On-Pad (POP) for ...

This story continues at Connector sets compliant with VITA 42 XMC.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v5js4O
via Yuichun

49 members of US Congress object to semi tariffs

49 members of  the US Congress have asked the Trump Administration to remove tariffs on U.S. semiconductor products imported from China. In a letter to Ambassador Robert Lighthizer, U.S. Trade Representative (USTR), the government body charged with issuing the tariffs, the Representatives stressed the importance of semiconductors in the modern economy, arguing that the duties ...

This story continues at 49 members of US Congress object to semi tariffs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NWLHto
via Yuichun

Green Hills and Paragon partner on IVI systems

Green Hills Software has combined its INTEGRITY RTOS with Paragon Software’s  File System Link to deliver safe and secure IVI systems. Paragon’s drivers enable car owners to connect USB devices, SD cards, or other storage media directly to in-vehicle infotainment (IVI) systems and instantly enjoy audio and video recordings, regardless of the file system used. ...

This story continues at Green Hills and Paragon partner on IVI systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LSImhD
via Yuichun

New Seeker release from Synopsys

Synopsys has announced  availability of  a new Seeker release, an interactive application security testing (IAST) solution redesigned to enable DevSecOps and continuous delivery of secure web applications. Seeker integrates into CI/CD pipelines and monitors web applications during preproduction testing cycles. It clams to be the only application security solution that detects and automatically verifies whether ...

This story continues at New Seeker release from Synopsys

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2O1IzfM
via Yuichun

University sets up service to tap into the graphene boom

Aiming to bridge the link between R&D into graphene’s properties and making its application into products on an industrial scale, the National Physical Laboratory (NPL) and the National Graphene Institute (NGI, pictured)  at the University of Manchester, have set up a graphene characterisation service. Graphene is stronger than steel, yet is lightweight and flexible, it ...

This story continues at University sets up service to tap into the graphene boom

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mZJ0vV
via Yuichun

Boost-converter eval board maximises runtime for wireless devices

An evaluation board from Recom, maximises runtime for small battery-powered wireless devices. The R-78S evaluation board (R-78S3.3-0.1-EVM) for Recom’s 3.3V R-78S boost converter is now available from Dengrove Electronic Components. The evaluation board maximises runtime by generating a regulated 3.3V output as the battery discharges to as low as 0.65V. Test points measure load current and ...

This story continues at Boost-converter eval board maximises runtime for wireless devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M5nnoO
via Yuichun

Thalia and Catena deliver first analogue IP re-use projects

Thalia Design Automation and Catena have delivered the first of their analogue IP reuse projects. The two companies have worked together to migrate Catena’s WiFi and variants of Bluetooth IP including a low-power version, between multiple sub-40nm process nodes and foundry providers. Analogue IP providers increasingly need to respond to customer demands for absolute flexibility in ...

This story continues at Thalia and Catena deliver first analogue IP re-use projects

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OuXtfL
via Yuichun

An iron-based 2D material from nature

An international team has added another two-dimensional material to the expanding list that started with graphene. Hematene is an iron oxide mono-layer, which has been made by exfoliating it from the naturally-occurring iron ore heamatite (or ‘hematite’). Liquid exfoliation was used, a technique also used to knock graphene from naturally-occurring graphite. “The two-dimensional morphology of ...

This story continues at An iron-based 2D material from nature

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LRIA8S
via Yuichun

IQD launches quartz crystals operating at up to 200MHz

IQD has launched a range of quartz crystals which can operate in the fundamental mode up to 200 MHz. The quartz crystals are housed in an hermetically sealed 2.5 x 2.0 x 0.6mm (IQXC-152) and 2.0 x 1.6 x 0.5mm (IQXC-153) ceramic 4 pad package, 2 of the pads are grounded to the metal lid to ...

This story continues at IQD launches quartz crystals operating at up to 200MHz

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v15dhA
via Yuichun

2018年7月30日 星期一

IIoT consortium formed

Advantech, Behr Technologies (BTI), Hitachi Solutions America, and Microsoft are collaborating to deliver wireless communications for private industrial internet of things (IIoT) networks. The joint effort will provide a mass-market, end-to-end wireless gateway to ensure connectivity with sensors for production-level industrial and commercial applications. This collaboration aims to meet growing demand in the marketplace for ...

This story continues at IIoT consortium formed

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LG8ugg
via Yuichun

Tencent joins LoRa Alliance

Tencent has jouned the LoRa Alliance to develop LoRaWan technology. Tencent has invested significantly in technology and applications for LoRaWAN and will support further development of the LoRaWAN ecosystem. The company also announced plans to build a LoRaWAN network in Shenzhen with local partners. Finally, it provides device-edge-cloud LoRaWAN solutions on its network for a ...

This story continues at Tencent joins LoRa Alliance

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2vkETyc
via Yuichun

NASA makes ultra-cold atoms in space

Researchers from NASA’s Cold Atom Laboratory (CAL) have produced clouds of ultracold atoms called Bose-Einstein condensates (BECs) aboard the International Space Station (ISS), representing the coldest experiments ever conducted in space. BECs, which can reach temperatures just above absolute zero, the point where atoms should theoretically stop moving entirely, have never previously been produced in ...

This story continues at NASA makes ultra-cold atoms in space

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LBg2B7
via Yuichun

Uber ditches self-driving trucks

Uber will stop it’s autonomous truck development to concentrate on self-driving cars. Two years ago, Uber bought Otto which develops self-driving technology for trucks. Uber is said to have paid $680 million for Otto which was started by an ex-Waymo engineer who, Waymo alleged, had stolen 14,000 documents from Waymo. That precipitated a bitter lawsuit ...

This story continues at Uber ditches self-driving trucks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NX7HEr
via Yuichun

T-Mobile gives Nokia $3.5bn contract for US 5G network

T-Mobile, the third largest US mobile network, has given Nokia a $3.5 billion contract to build its US  5G network. The move may incentivise other operators to build 5G networks which they have been reluctant to do because of cost. T-Mobile says it will run a 5G service next year in LA, Las Vegas, New ...

This story continues at T-Mobile gives Nokia $3.5bn contract for US 5G network

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LGscZp
via Yuichun

16 optical fibre connectors in 1U rack panel

Surrey-based Cliff Electronics is offering pre-assembled fibre-optic connector rack panels, fitting 16 connectors in each 1U x 19” panel. Based on the firm’s ‘FeedThrough’ profile, which fits various electrical and optical connectors in the standard cut-out for an XLR connector, there are four pre-loaded panels – include one with the ST MM (multi-mode) fibre-optic connector whose straight ...

This story continues at 16 optical fibre connectors in 1U rack panel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OpBbMi
via Yuichun

Q2 a record for wafer shipments.

Q2 silicon wafer area shipments rose 2.5% to 3,160 million square inches from Q1’s  3,084 million square inchesM  according to the SEMI Silicon Manufacturers Group (SMG). Q2 total area shipments are 6.1%  higher than Q2 2017 shipments. “The second calendar quarter of the year typically enjoys a volume increase over the first quarter,” says SEMI SMG chairman ...

This story continues at Q2 a record for wafer shipments.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Anki1N
via Yuichun

Charcroft signs Euroquartz

Charcroft Electronics, the Llanwrtyd Wells-based specialist components manufacturer and distributor, has signed a UK distribution franchise agreement with Euroquartz which manufactures quartz crystals, oscillators, filters and frequency-related products to the electronics manufacturing industry world-wide.  “The addition of the Euroquartz product range is a natural extension to our existing portfolio of Defence, Space-grade and high-reliability products,”says Charcroft md Debbie ...

This story continues at Charcroft signs Euroquartz

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NV1glj
via Yuichun

UK made: low-pressure over-moulding service

EC Electronics is offering low-pressure over-moulding encapsulation for PCBs and cable assemblies from its facility near Reading, as well as its Romanian factory. The process is an alternative between traditional potting and injection moulding. “Although initially used in the automotive industry to waterproof connectors and to add strain-relief for wires and cables, over-moulding is now used to ...

This story continues at UK made: low-pressure over-moulding service

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mTuSnT
via Yuichun

Dual quiet low-capacitance p-jfet, for when n-fets miss the spot

“Historically, p-channel jfets availability has declined,” according to Californian fet maker Linear Integrated Systems (LIS). “Complementary single n-channel and p-channel jfets have become limited to a few industry standards. Complementary monolithic dual n-channel and p-channel jfets have not been offered for many years, leaving designers under supported.” And its answer to the dearth of choice is ...

This story continues at Dual quiet low-capacitance p-jfet, for when n-fets miss the spot

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v3110w
via Yuichun

Toshiba in volume shipment of new current photorelays

Toshiba shipping volume quantities of two new high current photorelays that are fabricated using the latest U-MOS IX semiconductor process. The TLP3553A and TLP3555A devices feature OFF-state output terminal voltage ratings of 30V and 60V, and ON-state continuous current ratings of 4A and 3A – higher than previous generation products. When operated in pulsed mode, ...

This story continues at Toshiba in volume shipment of new current photorelays

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NRq51w
via Yuichun

Infineon in production of 1200V TRENCHSTOP IGBT S6 series.

Infineon is in volume production of  the 1200V TRENCHSTOP IGBT6. It is the first discrete IGBT duopack on the market manufactured on 12 inch wafers. The product family was optimized for use in hard switching and resonant topologies operating at switching frequencies from 15 kHz to 40 kHz. Typical applications for the IGBT6 are uninterruptible ...

This story continues at Infineon in production of 1200V TRENCHSTOP IGBT S6 series.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Ap1fnW
via Yuichun

Kingston holds on to top DRAM module slot

Kingston maintained its lead in the DRAM module market last year with 60% growth, reports DRAMeXchange. ADATA was No.2, growing  its sales 146%. DRAM module makers saw sales rise 69% last year to $11.7 billion. Driving this was the rising ASP of DRAM which rose 50% in 2017 and rose 60% in the spot market. ...

This story continues at Kingston holds on to top DRAM module slot

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v0EUbb
via Yuichun

2018年7月29日 星期日

SEMI objects to China tariffs

SEMI has objected to tariffs on Chinese imports used by the semiconductor manufacturing equipment industry SEMI’s Jonathan Davis has called for the removal of 29 tariff lines covering items critical to semiconductor manufacturing including machines and spare parts used to make, wafers, flat panel displays and masks. Davis stressed that while SEMI supports stronger protections ...

This story continues at SEMI objects to China tariffs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2K5m07P
via Yuichun

DCMS objects to Facebook responses

The DCMS ( Digital, Culture, Media and Sport ministry) published a report yesterday saying that Facebook was disingenuous in answers to questions from a parliamentary committee ‘to the point of obstruction’. The DCMS report was investigating the use of Facebook to interfere in elections and to publish fake news  and Is  looking at whether social ...

This story continues at DCMS objects to Facebook responses

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mQGmbx
via Yuichun

2018年7月27日 星期五

BridgeTek puts colour touch screen on Arduino

Sunflower Shield adds a touch-enabled 3.5in QVGA TFT LCD to Arduino projects, and demonstrates Bridgetek’s FT813 video controller – which uses the firm’s innovative ‘EVE’ video controller which does away with the need for video ram. Designed by Cowfish Studios, it will render 24bit colour content in either landscape or portrait orientations, plus support smooth 60frame/s animations as ...

This story continues at BridgeTek puts colour touch screen on Arduino

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AgLBej
via Yuichun

Panasonic launches high ripple current electrolytic capacitors

Panasonic  is releasing a new series of automotive-qualified Aluminium electrolytic capacitors benefiting from very high ripple current (2 to 2.5 times higher than existing FC series products) and large capacitance values (up to 60% higher than existing FC series products). FP series radial lead type devices also feature a high endurance of 4000h up to ...

This story continues at Panasonic launches high ripple current electrolytic capacitors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mLIxNx
via Yuichun

Element14 and Xilinx launch ‘Path to Programmable’.

Element14 is launching a new reality series called “Path to Programmable” sponsored by Xilinx. The series will take five community members new to FPGASoCs and train them to better understand the technology, development tools and design flow process over the course of the twelve-week programme. From Machine Learning and 5G Wireless to Cloud Computing and ...

This story continues at Element14 and Xilinx launch ‘Path to Programmable’.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Ab5d3k
via Yuichun

2018年7月26日 星期四

Custom MMIC adds LNA and Phase Shifter MMICs

Custom MMIC has added to its LNA and Phase Shifter MMIC portfolio. The LNA is a C Band, 4-8 GHz device housed in a leadless 3×3 mm plastic surface mount package. The LNA delivers greater than 16 dB of gain with a corresponding output 1 dB compression point of +18 dBm and a noise figure ...

This story continues at Custom MMIC adds LNA and Phase Shifter MMICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Afv4Hp
via Yuichun

Applied, Symetrix and Arm win DARPA contract to pursue CeRAM-based switch.

Applied Materials, Symetrix and ARM have won a DARPA contract to develop a neuromorphic switch based on CERAM (Correlated Electron RAM), The contract is part of DARPA’s ERI (Electronics Fesurgence Initiative) aimed at accelerating the performance of electronic systems. The CeRAM approach is looking at sppeding up the processing of analogue signals. gCeRAM is a ...

This story continues at Applied, Symetrix and Arm win DARPA contract to pursue CeRAM-based switch.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2OlMCEY
via Yuichun

Intel expects $69.5bn revenues for 2018

Intel had Q2 net profit of $5.91 billion on sales 15% up y-oy of $16.96 billion. The PC business had revenues of $8.73 billion up 6% y-o-y. The server business had revenues of $5.55 billion- up 27% y-o-y. The memory business had revenues of $1.08 billion – up 23% y-o-y the IoT business had sales ...

This story continues at Intel expects $69.5bn revenues for 2018

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AfTzEy
via Yuichun

EPSRC puts £16m into low-carbon research

The Engineering and Physical Sciences Research Council (EPSRC) has released the funding it promised for low-carbon ‘Supergen’ national energy research centres last year. £15m will be shared between the centres, which are to be multi-disciplinary collaborations between universities, academic bodies and industry. Getting £5m each, the three Supergen Energy hubs will be focused on off-shore renewable energy, ...

This story continues at EPSRC puts £16m into low-carbon research

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AdV1qP
via Yuichun

GMSL camera operates up to 15m from host for remote robotics

Long distance cameras in the NileCam series operate up to 15m from the host processor while still supporting low latency and high frame rates, says e-con Systems. The cameras use GMSL technology, a serdes technique, to carry high speed video, bidirectional control data and power over a single coaxial cable. The cameras can be used where long ...

This story continues at GMSL camera operates up to 15m from host for remote robotics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uRVycZ
via Yuichun

Colloidal robot goes with the flow

MIT has found a way to get electronics into hard-to-access places, by flowing it in as a colloid. Colloids, milk is one, are suspensions of particles in a liquid that never settle out. “We wanted to figure out methods to graft complete, intact electronic circuits onto colloidal particles,” said MIT chemistry professor Michael Strano. What ...

This story continues at Colloidal robot goes with the flow

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LRn1SB
via Yuichun

140kV probe for bench work

Vitrek has introduced a 140kVdc 100kVac smart bench-top test probe. Called HVL-150, it is intended to expand the measurement range of the firm’s Model 4700 precision high-voltage meter. The probe has a proprietary low thermal coefficient attenuator that minimises the effects of self-heating (0.2ppm of reading per KV2), and low capacitance for ac measurement. Alone, ...

This story continues at 140kV probe for bench work

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mJhXoc
via Yuichun

Simple constant current LED drivers for automotive

Diodes has released simple LED driving chips for regulating current in strings of LEDs, particularly in cars and other road vehicles – some of which match parts from Infineon and Nexperia (see table below). Called the the BCR4xxUW6Q series, they come in SOT26 (SC70) packages and are expected to find use with LEDs displacing conventional bulbs ...

This story continues at Simple constant current LED drivers for automotive

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LTMz1z
via Yuichun

APC Technology buys RF-TM distributor, Aspen

Specialist component distributor, APC Technology Group, announced its second acquisition of 2018, buying RF and microwave component, test and measurement equipment distributor, Aspen Electronics for £2.2million. Since 1974, Aspen Electronics has supplied RF and microwave components and test and measurement equipment from more than 50 manufacturers. The company also has a service facility to design, manufacture and ...

This story continues at APC Technology buys RF-TM distributor, Aspen

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NMyFyI
via Yuichun

2018年7月25日 星期三

Simulation helps decide which molecules interact best for building nanomaterials

A simulation developed by Kyoto University and the Tokyo Institute of Technology could help scientists decide what molecules best interact with each other to build nanomaterials from scratch. The approach involves connecting the chemical properties of molecules with the nanostructures that form as a result of their interaction. A machine learning technique generates data that ...

This story continues at Simulation helps decide which molecules interact best for building nanomaterials

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AeucCY
via Yuichun

8cm high Ethernet switch

 Advantech has introduced an 8cm high EKI-2525LI Ethernet switch. The EKI-2525LI is an unmanaged 5-port Ethernet switch in an ultra-small enclosure whit fits in the palm of a hand. Compact devices such as PLCs typically have a height of only approximately 10 cm. Conventional Ethernet switches however, are usually taller than this, resulting in a ...

This story continues at 8cm high Ethernet switch

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NN69wD
via Yuichun

Ultrasound module market starts to take off.

The ultrasound modules market had sales of $2.1 billion in 2017, and expects a  CAGR of 18% between 2017 and 2023. The market in 2023 should reach $5.7 billion, says Yole Developpement. A Yole report: Ultrasound Sensing Technologies for Medical, Industrial & Consumer Applications  looks at the ecosystem and its players. It points out the ...

This story continues at Ultrasound module market starts to take off.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LIW1aS
via Yuichun

BrainChip makes Akida Development Environment available

BrainChip is offering access to its Akida Development Environment – a machine learning framework for the creation, training, and testing of spiking neural networks (SNNs). The Akida Development Environment includes the Akida Executiony Engine, data-to-spike converters, and a model zoo of pre-created spiking neural network (SNN) models. The framework leverages the Python scripting language and its ...

This story continues at BrainChip makes Akida Development Environment available

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NKS5E4
via Yuichun

Samsung starts mass production of LPDDR4X DRAM

Samsung has begun mass producing  2nd-generation 10nm-class (10-19nm) LPDDR4X (Low Power, Double Data Rate, 4X) DRAM to improve the efficiency and lower the battery drain of smartphones and other mobile applications. Compared to the mobile DRAM memory chips most used in current flagship mobile devices (1x-nm 16Gb LPDDR4X), the 2nd– generation LPDDR4X DRAM features up ...

This story continues at Samsung starts mass production of LPDDR4X DRAM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LEY5k8
via Yuichun

Qualcomm-NXP deal off

As expected, China’s regulatory approval for the takeover of NXP by Qualcomm had not been received by the deadline of midnight New York time yesterday and the deal has been called off. “We obviously got caught up in something that was above us,” says Qualcomm CEO Steve Mollenkopf. The US-China trade spat scuppered the deal. ...

This story continues at Qualcomm-NXP deal off

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LC9KjT
via Yuichun

16bit data acquisition over 48 channels at 125Msample/s

Spectrum Instrumentation has added twelve new products to its family of German-made LXI-based digitizerNETBOX data acquisition instruments. Designed specifically for situations where multiple signals need to be acquired, stored and analysed, users can select from models that provide 24, 32, 40 or 48 synchronised channels. Called the DN6.59x series, the digitisers are all based on ...

This story continues at 16bit data acquisition over 48 channels at 125Msample/s

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A92TKl
via Yuichun

pA diodes protect sensitive inputs

Intended for protecting op-amp, sample-and-hold and multiplexer inputs, Linear Systems has created a range of extremely low-leakage picoamp diodes (PADs) with reveres currents ranging from 1pA to 100pA, which are “pin-for-pin replacements for Siliconix-Vishay”, said Linear. They are available in: TO-92 two-lead (part name = JPAD, 35Vmax reverse) TO-72 (PAD, 45Vmax) SOT-23 (SSTPAD 30V) DFN eight-lead ...

This story continues at pA diodes protect sensitive inputs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NJpBe1
via Yuichun

ST looking to 14-17% growth this year

 ST had Q2 revenues of $2.27 billion up 18% on Q2 2017. Gross margin was 40% and profit was $261 million. It expects Q3 revenues to show growth of 10% to about $2.5 billion. The company’s growth target for the year is between 14 and 17% growth.  “We are growing significantly in the third and ...

This story continues at ST looking to 14-17% growth this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Ofbuy3
via Yuichun

Imec achieves 27.1% PV cell conversion efficiency

Imec has achieved  a record result for its 4-terminal Perovskite/silicon tandem photovoltaic cell. With a power conversion efficiency of 27.1%. The imec  cell beats the most efficient standalone silicon solar cell. Further careful engineering of the Perovskite material will bring efficiencies over 30% in reach. Perovskite microcrystals are a promising material system to make high-performance ...

This story continues at Imec achieves 27.1% PV cell conversion efficiency

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NJFCR0
via Yuichun

Tsinghua buys Linxens

Tsinghua Unigroup is to buy connector, inlay and antenna specialist Linxens of France for $2.6 billion, reports Reuters. The deal still has to be approved by French and German regulators. Following objections by the US regulators to a string of proposed takeovers, the Chinese have reduced investment in the US by 75% and have spent ...

This story continues at Tsinghua buys Linxens

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2AaAVxI
via Yuichun

C-SKY Micro licenses UltraSoC

C-SKY Microsystems  a China-based semiconductor company acquired by the Alibaba Group in April, has licensed UltraSoC’s embedded analytics technology for use in C-SKY’s SoCs. The companies plan a long-term partnership, with the first products targeted at sophisticated artificial intelligence-based applications. C-SKY will employ UltraSoC’s embedded analytics technology to enable advanced product developments based on its own ...

This story continues at C-SKY Micro licenses UltraSoC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uJCnC7
via Yuichun

2018年7月24日 星期二

DARPA to invest $1.5bn in IC R&D

DARPA, the US Defense Department’s Defense Advanced Research Projects Agency is to work with  research teams from industry and academia under DARPA’s $1.5 billion Electronics Research Initiative (ERI) to boost long-term semiconductor research. The partnerships will target advances in semiconductor circuit design, materials, and systems architectures. ERI is divided into three main research thrust areas – ...

This story continues at DARPA to invest $1.5bn in IC R&D

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A5fjmw
via Yuichun

SEMI June billings down 8% on May

June billings of $2.48 billion for semiconductor manufacturing equipment were 8% lower than May’s 2018 $2.7 billion, and is 8.1% higher than June 2017 billings of $2.3 billion. “Global billings of North American equipment manufacturers declined for the current month by 8% from the historic high but is still 8% higher than billings for the same ...

This story continues at SEMI June billings down 8% on May

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2v1zzzp
via Yuichun

Marvell opens EMC lab for automotive Ethernet products

Marvell has opened an EMC lab. The testing facility is CISPR 25 qualified and Delivers an in-house capability to perform a wide range of emission, immunity and ESD testing. With this facility, Marvell’s automotive Ethernet products can be designed into system solutions that meet the EMC challenges faced by automotive manufacturers and help shorten the time-to-market. ...

This story continues at Marvell opens EMC lab for automotive Ethernet products

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LoOu1G
via Yuichun

SiTime and Intel to work on timing for wireless ICs .

MEMS timing specislist  SiTime and Intel are to work together on integrating timing for Intel’s 5G multi-mode radio modems, Intel’s 4G chips, and its  millimeter-wave wireless, Wi-Fi, Bluetooth, and GNSS products. SiTime’s MEMS timing solutions enhance system performance in the presence of stressors such as vibration, high temperature, and rapid thermal transients. Such stressors can ...

This story continues at SiTime and Intel to work on timing for wireless ICs .

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LkabQy
via Yuichun

150Vin buck converter idles at 9µA

Analog Devices has introduced a 150V buck converter that draws 9µA quiescent – that is only 1.35mW wasted at full input voltage. Called LTC3894, its input range is 4.5 to 150V, and the the firm makes an interesting point: that this wide range can eliminate the need for external surge suppression devices on lower voltage rails. ...

This story continues at 150Vin buck converter idles at 9µA

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A3wdBL
via Yuichun

Toshiba sampling SSDs using 96-layer TLC NAND

Toshiba is sampling SSDs that are based on its 96-layer, 3D flash memory. They come in 256GB, 512GB and 1024 GB capacities. The first SSD to use this technology, the XG6 series, is targeted to the client PC, high-performance mobile, embedded, and gaming segments – as well as datacentre environments for boot drives in servers, ...

This story continues at Toshiba sampling SSDs using 96-layer TLC NAND

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mFGU4b
via Yuichun

AMS makes Q2 loss on reduced Apple orders.

AMS had a Q2 operating loss of $48.6 million on revenues of $252.8 million after a key customer, believed to be Apple, cut back orders for 3D sensors for iPhones. In Q2 2017, AMS had an operating profit of $1.6 million. AMS blamed a delay in orders which left it with unused capacity. AMS, which ...

This story continues at AMS makes Q2 loss on reduced Apple orders.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JPaME8
via Yuichun

2018年7月23日 星期一

Apple 3D sensors and LIDAR boost GaAs wafer demand

The market for GaAs substrates will see a 15% CAGR in volume between 2017 and 2023, says a report from Yole Développement (Yole). Photonics applications will grow at 37%. Apple’s choice of  GaAs-based VCSELs for 3D sensing and the use of GaAs for LIDAR have ignited demand for GaAs wafers, reports Yole. The  report, “GaAs Wafer & Epiwafer ...

This story continues at Apple 3D sensors and LIDAR boost GaAs wafer demand

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uYk8bf
via Yuichun

AI will create as many new jobs as it loses

Many thanks to SEPAM for pointing up this one – a report by PwC on the affect of AI on jobs. PwC, the business consultancy, reckons that as many UK jobs will be created by AI as will be lost by AI. A PwC report forecasts that about 20% of UK jobs will be automated ...

This story continues at AI will create as many new jobs as it loses

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LygDm7
via Yuichun

Qualcomm launches 5G and sub-6GHz RFModules

Qualcomm is sampling 5G NR mmWave and sub-6 GHz RF modules for mobile devices. The Qualcomm QTM052 mmWave antenna module family and the Qualcomm QPM56xx sub-6 GHz RF module family pair with the Qualcomm Snapdragon X50 5G modem to deliver modem-to-antenna capabilities across several spectrum bands, in a footprint that is suitable  for mobile devices. ...

This story continues at Qualcomm launches 5G and sub-6GHz RFModules

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JOYGuE
via Yuichun

ON Semi launches USB Type-C audio switch and current sense amplifiers

ON Semiconductor has launched a USB Type-C analog audio switch with integrated protection, and the NCS21x series of current sense amplifiers. TheUSB Type-C port multimedia switch, designated FSA4480, supports analog audio headsets allowing a common USB Type-C port to pass USB2.0 signals, analog audio and analog microphone signals. The device, which supports an audio sense path, ...

This story continues at ON Semi launches USB Type-C audio switch and current sense amplifiers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LKSoye
via Yuichun

Elektra Awards 2018 entries deadline extended

The deadline for entries to the Elektra Electronics Industry Awards 2018 has been extended to 10 August 2018.

This story continues at Elektra Awards 2018 entries deadline extended

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Ljtnhp
via Yuichun

Harting mounts current sensors directly onto busbars

Harting has introduced a range of current sensors which can be directly mounted on busbars.   With dimensions of 6cm in height and 14cm wide, the HCME range of current sensors measure high currents up to 5500A.  The design of the HCME sensors is based on the open-loop measurement principle. This provides a direct representation ...

This story continues at Harting mounts current sensors directly onto busbars

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LI2EqV
via Yuichun

DCMS wants universal FTTH by 2033

FTTH will be universal by 2033 under a plan from the Department for Digital, Culture, Media and Sport (DCMS) . “We want everyone in the UK to benefit from world-class connectivity, no matter where they live, work or travel,” said DCMS Secretary Jeremy Wright, “this radical new blueprint for the future of telecommunications in this ...

This story continues at DCMS wants universal FTTH by 2033

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A3uBIg
via Yuichun

Rutronik offers Intel Core i7+ and 3D XPoint memory speed in HDD PC designs

The Intel Core i7+ and Core i5+ Boxed Solutions, available from Rutronik, combine Intel Core processors of the eighth generation with Optane Cache modules in packages for notebooks and desktop PCs.  The combination of powerful processors and Optane Cache modules accelerates systems with HDDs especially. It serves both in desktop PCs and notebooks as an alternative to the ...

This story continues at Rutronik offers Intel Core i7+ and 3D XPoint memory speed in HDD PC designs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mArSMP
via Yuichun

RS adds free IoT design tools download to DesignSpark

RS Components is working with a start-up company that develops ‘middleware’ software for Internet of Things (IoT) projects and applications.  The distributor’s agreement with Zerynth will mean its IoT development environment will be available via a portal on the RS DesignSpark engineering community, allowing customers to download the tools. Zerynth has developed a suite of ...

This story continues at RS adds free IoT design tools download to DesignSpark

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2A2dvdX
via Yuichun

NXP-Qualcomm deadline this Wednesday

Wednesday may see the end of the NXP – Qualcomm saga. If the China government doesn’t OK the deal by then, it’s off. Qualcomm says that if there’s no approval on Wednesday it will not seek an extension to the approvals process. Qualcomm will have to pay NXP a $2 billion break-up fee if the ...

This story continues at NXP-Qualcomm deadline this Wednesday

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LF9U6U
via Yuichun

2018年7月22日 星期日

Purdue creates fastest-ever rotor

Researchers have created the fastest man-made rotor in the world which revolves more than 60 billion times a minute – more than 100,000 times faster than a high-speed dental drill. “This study has many applications, including material science,” says Purdue’s  Tongcang Li, “we can study the extreme conditions different materials can survive in.” Li’s team ...

This story continues at Purdue creates fastest-ever rotor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JMslVh
via Yuichun

TSMC expects 7nm will account for 20% of revenues next year

TSMC has  taped out ICs for an enhanced 7nm EUV process and expects 7nm to account for over 20% of sales next year.  Crypto mining weakness has caused TSMC to drop its year-end growth forecast for 2018 from 10% to between 5-9%, reports Digitimes. The forecast capex for 2018 has been revised from $11.5-12 billion ...

This story continues at TSMC expects 7nm will account for 20% of revenues next year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LgkZ2a
via Yuichun

2018年7月21日 星期六

eevBLAB #49 – Paid Dislikes! (AGAIN!) – BattBump

What is it with Kickstarter debunking videos and paid dislikes?
Someone has paid for dislikes on my BattBump videos.

Battbump Kickstarter

Forum HERE

Batteriser Dislikes: https://www.youtube.com/watch?v=OU08zwBH-wo

Proving views aren’t faked: https://www.youtube.com/watch?v=9XpGFWTxU6s



from EEVblog https://ift.tt/2JNDsNM
via Yuichun

EEVblog #1101 – Siglent SVA1015X VNA Teardown

Teardown and look at the new $1395 Siglent SVA1015X 1.5GHz Spectrum and Vector Network Analyser
Well, $2000 when you include the actual VNA option :-/

Forum HERE



from EEVblog https://ift.tt/2Lvr1uW
via Yuichun

EEVblog #1100 – Dumpster Photocopier Repair

Dave repairs a Xerox C2201 color photocopier found in the dumpster.

Forum HERE



from EEVblog https://ift.tt/2uQh0hH
via Yuichun

EEVblog #1099 – BattBump Kickstarter REDACTED EDITION!

UPDATE: Someone has paid for a massive amount of Dislikes on this and my other BattBump video!
The infamous video is back up, with hilarious redactions to prevent illegitimate Youtube Privacy complaints from, I don’t know, Bozo the clown perhaps, you can’t be too careful these days on Youtube!

You can still see the Kickstarter campaign and all the details here:
https://www.kickstarter.com/projects/1749849235/battbump-an-app-to-share-and-receive-phone-battery/
The campaign was shut down by the owner, it was not removed by Kickstarter.

BattBump – A mobile app to share battery charge via NFC!
Yes, it’s as stupid as it sounds.
It’s NOT a joke, it’s the dumbest Kickstarter idea ever.

More press coverage

Sony Patent

Forum HERE



from EEVblog https://ift.tt/2Lrp6aR
via Yuichun

EEVblog #1098 – 4K Video Editing PC Build

Dave builds a new i7 7820X based 4K video editing machine into an old Fractal Designs dumpster case.
Benchmark comparison with the old dual Xeon machine.
4K GPU vs CPU rendering

Forum HERE



from EEVblog https://ift.tt/2Lcqajr
via Yuichun

2018年7月20日 星期五

Printer for plasmonic structures works at room temperature

Printing nano-scale features in surfaces it difficult, according to Purdue University, particularly if the required features are smaller than the gains in the metal – the grains govern the ‘formability limit’. Sputtering metal into moulds is another route, so long at the resulting rough surface texture is not an issue. Now Purdue is claiming both ...

This story continues at Printer for plasmonic structures works at room temperature

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NZD5TP
via Yuichun

Toshiba prototypes 96-layer 1.3Tbit NAND

Toshiba has developed a prototype 96-layer BiCS FLASH, memory using 3D flash quad level cell (QLC) technology. The IC achieves the industry’s maximum capacity of 1.33 terabits for a single chip. This also realises an unparalleled capacity of 2.66 terabytes in a single package by utilising a 16-chip stacked architecture. The huge volumes of data generated ...

This story continues at Toshiba prototypes 96-layer 1.3Tbit NAND

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LeqmyY
via Yuichun

Flow battery uses liquid sodium-potassium alloy

Researchers at Stanford University have proposed liquid sodium-potassium alloy as an electron donor in a flow battery. Instead of storing electrons within the structure of a battery, a flow battery stores electrons in the form of chemicals in tanks, which are pumped into an electrolytic cell when charge or discharge is required. The action decouples ...

This story continues at Flow battery uses liquid sodium-potassium alloy

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mtn4Zw
via Yuichun

Kenya goes Loony

Google’s Loon balloons are to be deployed over Kenya to provide internet access in the first commercial use of the technology. Loon will work with Telkom Kenya to deliver the service. “We will work very hard with Loon, to deliver the first commercial mobile service, as quickly as possible, using Loon’s balloon-powered Internet in Africa,” ...

This story continues at Kenya goes Loony

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NvRQfV
via Yuichun

2018年7月19日 星期四

Huawei telecoms kit is a risk to UK networks

Huawei telecoms equipment poses a risk to the UK telecoms network, concludes a report by the Huawei Cyber Security Evaluation Centre (HCSEC). “Through 2017, HCSEC has continued to find issues in Huawei products, demonstrating their continued ability to discover weaknesses in the Huawei product set,”says the report. “The NCSC (National Cyber Security Centre) has advised ...

This story continues at Huawei telecoms kit is a risk to UK networks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Lbn676
via Yuichun

Xilinx buys China start-up

Xilinx has bought Beijing start-up DeepPhi Technology which specialises in machine learning, deep compression, pruning, and system-level optimization for neural networks. DeePhi Tech has been developing its machine learning solutions on Xilinx technology platforms, and the two companies have worked closely together since DeePhi Tech’s inception in 2016. DeePhi Tech’s neural network pruning technology has ...

This story continues at Xilinx buys China start-up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JIpkW2
via Yuichun

Nicomatic launches miniature EMM connector

Nicomatic, the French connector manufacturer, has launched  a rugged micro connector, the 1.27 mm pitch EMM series which targets defense and other high-reliability applications. Designed to meet the performance requirements of MIL 83513, EMM series connectors occupy 40% less space than previous-generation connectors from the company, are 20% smaller than Micro D connectors for 16-pin ...

This story continues at Nicomatic launches miniature EMM connector

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L7EklS
via Yuichun

Low phase noise 55MHz-15GHz fractional-N synth

ADI’s ADF5610 fractional-N synthesiser covers 55MHz to 15GHz, and is claimed to have “industry’s lowest phase noise performance on a single chip” by its maker. Fabbed on a SiGe BiCMOS process, at 10GHz VCO phase noise is -114dBc/Hz at 100kHz offset and -165dBc/Hz at 100MHz offset. Normalized phase noise floor is -229dBc/Hz. Typical output power is  ...

This story continues at Low phase noise 55MHz-15GHz fractional-N synth

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NuWL0T
via Yuichun

Screwless pluggable PCB mounting terminal blocks

The CamBlock Plus range of PCB mounted terminal blocks, from CamdenBoss, is a family of terminal blocks with UL and VDE safety approvals that span 2.54mm to 10.16mm pitch. New to this are two product lines: A 2.54mm pitch rising clamp terminal block with from 2 to 12 poles Screw-less pluggable types covering 2.5, 3.5, 3.81 ...

This story continues at Screwless pluggable PCB mounting terminal blocks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mraMkp
via Yuichun

US looks for ways to help educate semiconductor industry employees

The U.S. National Institute of Standards and Technology (NIST) has sent out a questionnaire to the semiconductor industry, its suppliers, trade bodies, equipment manufacturers, educational organisations and others. Specifically it is asking about:* The types of technical positions for which hiring is most difficult Educational levels at which hiring is most difficult Expected changes in ...

This story continues at US looks for ways to help educate semiconductor industry employees

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uKXfrH
via Yuichun

Narrow beam IR LED for security cameras

Osram has added to its Oslon Black portfolio for infra-red illumination with a ±25° beam version – called SFH 4718A – narrow enough to do away with secondary optics for cameras with a medium capture range, according to the firm. “With the addition of the SFH 4718A, the Oslon Black portfolio now comprises three wavelengths ...

This story continues at Narrow beam IR LED for security cameras

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2muzkt6
via Yuichun

China memory production gets closer

China’s memory companies are looking to start volume production next year, reports Digitimes. Yangtze River, owned by Tsinghua Unigroup, is the most advanced – running 5k wafers a month of 32-layer NAND. It is building capacity for 100k wpm for 2020 and an eventual capacity of 350-400k wpm out of three fabs. The other two ...

This story continues at China memory production gets closer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uyXXcu
via Yuichun

2018年7月18日 星期三

PolarFire design suite launched

Microsemi, now owned by Microchip, has announced a new release of the Libero SoC PolarFire Design Suite, introducing lower static power devices to the PolarFire FPGA family. Available now, the Libero SoC PolarFire Design Suite v2.2 gives designers access to “L” series PolarFire devices which deliver 30 percent lower static power over standard PolarFire FPGAs, ...

This story continues at PolarFire design suite launched

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uMs2od
via Yuichun

BEHOP paves way for beam hopping in satellite systems

Current satellite communication systems use static beams, with little or no options of adjusting beam capacity to a varying demand. Beam hopping, based on the DVB-S2X broadcasting standard, allows redirecting capacity between beams, making satellite systems more flexible and efficient. Working with WORK Microwave and Eutelsat, the Fraunhofer Institute for Integrated Circuits IIS has successfully ...

This story continues at BEHOP paves way for beam hopping in satellite systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mvFb0V
via Yuichun

TI sacks new CEO after six weeks in the job

TI has sacked its new CEO Brian Crutcher (pictured) who was appointed on June 1st.  Crutcher’s predecessor as CEO, Rich Templeton is moving back into the CEO slot. Templeton took over as Chairman when Crutcher became CEO The reason for Crutcher’s dismissal was given as ‘code-of-conduct violations’. “The violations are related to personal behavior that ...

This story continues at TI sacks new CEO after six weeks in the job

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L2mO2q
via Yuichun

Glasgow flexible supercapacitor uses graphene foam

Researchers at The University of Glasgow have created a flexible supercapacitor based on graphene foam, and have combined it with a flexible solar cell to form a power source for wearables. The capacitor uses layers of flexible, three-dimensional porous foam formed from graphene and silver. Capacitance was 38mF/cm2, according to the paper ‘Flexible self-charging supercapacitor based ...

This story continues at Glasgow flexible supercapacitor uses graphene foam

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L3uAsR
via Yuichun

EU fines Google $5bn

The EU has fined Google $5 billion for antitrust violations related to Android.  The EC says that Google used Android to boost its market share in search by insisting that phone manufacturers who used Android pre-installed Google Search.  “Google has used Android as a vehicle to cement the dominance of its search engine,” says Competition Commissioner ...

This story continues at EU fines Google $5bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LpfVnZ
via Yuichun

Renesas launches DC/DC controllers for POL conversions

Renesas has launched two PMBus compliant, fully digital DC/DC controllers that provide single output point-of-load (POL) conversions for FPGAs, DSPs, ASICs, network processors and general purpose system rails. The ISL68300 with integrated MOSFET drivers and ISL68301 with PWM output simplify designing power supplies for data center, wired and wireless communications, and factory automation equipment. The ISL68300 ...

This story continues at Renesas launches DC/DC controllers for POL conversions

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ms35e2
via Yuichun

Small Robot Company raises £50k.

The Small Robot Company, the Shropshire developer of agricultural robots, has raised £50,000 on Indiegogo. The company aims to make farms more profitable, and increase yield and efficiency, through using small robots instead of tractors. Its arable farming robots Tom, Dick and Harry enable farmers to be kinder to soil, kinder to the environment, more ...

This story continues at Small Robot Company raises £50k.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2O0FJZl
via Yuichun

2018年7月17日 星期二

Miniature 15kV opto-coupler integrates LV LED drivers with HV photo detector diodes

HVM Technology, the Texas specialist in miniature high voltage power converters, has released a miniature 15kV Opto-Coupler. The OPTO-150 is a miniature; 15kV rated high voltage opto-coupler which integrates low voltage LED drivers with high voltage photo detector diodes. Typical applications for the OPTO-150 include high voltage switching, high voltage isolation, external voltage sensing, voltage ...

This story continues at Miniature 15kV opto-coupler integrates LV LED drivers with HV photo detector diodes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2zM2eOP
via Yuichun

CommScope publishes FTTX eBook

CommScope has published an FTTX eBook, “Fiber to the X Fundamentals,” encompassing all aspects of outside plant fibre deployment. The entire 49-page book is available for download now. “We get so many questions from our customers – who are either deploying an FTTX network for the first time or are curious about new technologies – ...

This story continues at CommScope publishes FTTX eBook

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Lozal7
via Yuichun

Vayyar Imaging and Mini-Circuits are offering  educational project kits for engineers and students. These kits will introduce highly advanced practices with a focus on electromagnetic, RF and microwave technologies in university classrooms and programs The first kit  includes all the elements required to build vector network analyzers and algorithms for RF devices. The kit will features ...

This story continues at

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uLWMps
via Yuichun

Brexit is not shaking start-ups’ belief in London

A survey of over 100 founders of London-based start-ups found that less than one third (28%), have considered relocating following the vote, with 72% “happy to stay put regardless of this development”.  Nearly a quarter (24%) felt the government should do more to educate start-ups on how Brexit policies will affect their business. [Although this does ...

This story continues at Brexit is not shaking start-ups’ belief in London

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mqcW44
via Yuichun

Training: Embedded Linux and Security training day – Reading

Reserve a date in your diary for a Linux and Security training day in September, run by Doulos and Electronics Weekly.

This story continues at Training: Embedded Linux and Security training day – Reading

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NVsNUB
via Yuichun

Tektronix scope has four 8GHz channels, with 25Gsample/s on each

Tektronix is offering four 8GHz channels on its latest scope range, with simultaneous 25Gsample/s on each channel. “For example, a DDR3 clock and three DDR3 data channels can be viewed and analysed simultaneously, decreasing the time it takes to fully characterise a design with an instrument that shares sampling systems between channels,” said the firm. ...

This story continues at Tektronix scope has four 8GHz channels, with 25Gsample/s on each

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NnHRJG
via Yuichun

16 12bit DACs in the same package

AD5767 from Analog Devices includes 16 12bit voltage output DACs in the same package, and is intended to reduce the system footprint for wired telecommunications systems, providing the necessary bias ranges for the coherent fibre-based medium and long-haul optical systems – particularly indium phosphide Mach-Zehnder modulator biasing. “The DAC delivers a broad range of unipolar or ...

This story continues at 16 12bit DACs in the same package

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Lqxggr
via Yuichun

AMS gets more from its VOC sensor ICs

AMS has boosted the performance of its existing CCS801 and CCS811 volatile organic compound (VOC) sensor IC with up-dated software. The chips sense a relative value for total VOC (TVOC), or equivalent CO2 (eCO2) Two things have changed: The 48hour initial burn-in period have been cut to an hour for three of the five operating modes. Air quality ...

This story continues at AMS gets more from its VOC sensor ICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NVjC6I
via Yuichun

CML DMR/dPMR baseband IC integrates multiple vocoders

CML Microcircuits of Essex has launched a  baseband processor that includes both DMR and dPMR standards. The chip embeds  multiple low rate vocoders including AMBE+2 (Digital Voice Systems) and RALCWI  (CML’s proprietary technology). In its digital mode the chip, designated SCT3258TD, can sense the presence of analogue PMR and automatically switch operating mode.  This enables ...

This story continues at CML DMR/dPMR baseband IC integrates multiple vocoders

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JvJWkj
via Yuichun

Comment: Wireless freedom for the Pi

When the Raspberry Pi Foundation introduced the Raspberry Pi Three, many a developer was fascinated by the integrated Wi-Fi module.

This story continues at Comment: Wireless freedom for the Pi

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LohEKo
via Yuichun

2018年7月16日 星期一

Samsung completes 8Gb LPDDR5 development

Samsung says it has developed 10nm class 8Gb LPDDR5 DRAM and will move it into production at at its latest fab at Pyeongtaek in line with customer demand. 8Gb LPDDR4 started mass production in 2014.  8Gb LPDDR5 Joins Samsung’s premium DRAM lineup, which includes 10nm-class 16Gb GDDR6 DRAM (in volume production since December 2017) and 16Gb ...

This story continues at Samsung completes 8Gb LPDDR5 development

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NlGKKh
via Yuichun

Enter The Tempest

We are looking for partners to build a new fighter jet called Tempest (pictured)   to replace the Typhoon. “I want to announce the publication of the UK’s combat air strategy, which confirms our commitment to maintaining our world-class air power capabilities,” said Theresa May at the Farnborough Air Show yesterday. $2 billion has been budgeted ...

This story continues at Enter The Tempest

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L0P8Cd
via Yuichun

MagnaChip offers foundry customers Hall-effect sensors

MagnaChip Semiconductor of Korea is offering planar-type Hall-effect sensors for foundry customers. The Hall-effect sensor has been adopted by the market for an increasing number of applications, such as smartphone cameras for the closed-loop auto focus feature and for the auto iris function, etc. Since 2014, MagnaChip has been mass-producing Hall switches and electrical compasses ...

This story continues at MagnaChip offers foundry customers Hall-effect sensors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L25PNX
via Yuichun

Transceivers aim at 25-33GHz and 37-43GHz for 5G NR research

National Instruments is aiming at 5G NR research and prototyping with two series of millimeter wave (mmWave) radio heads that stretch coverage of its existing mmWave Transceiver System, across 24.5-33.4GHz and 37.0-43.5GHz. “As the first phase of 5G NR wraps up and the 3GPP finishes defining the communications protocol, the standards body also has identified specific ...

This story continues at Transceivers aim at 25-33GHz and 37-43GHz for 5G NR research

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Lq5d0r
via Yuichun

0402 X7R capacitors for space, and 2220 size too

AVX has extended its space-level ESCC QPL 3009/041 Series X7R base metal electrode (BME) multi-layer ceramic capacitors (MLCCs) with 0402 and 2220 case sizes, so there are now seven case sizes with parameters spanning 2.2nF to 22μF and 16 to 100V. Inside is AVX’s (Flexitherm-branded) termination which allows for some amount of board flexure as protection against ...

This story continues at 0402 X7R capacitors for space, and 2220 size too

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uAmtZS
via Yuichun

2MW battery makes and saves money at UK fab

LED-maker Plessey has added a 2MW ‘behind the meter’ (BTM) battery to its Plymouth fab. The battery power will earn revenue through a frequency response balancing contract with National Grid and help Plessey reduce its energy costs through peak-shaving of power supply in response to fluctuations in demand. Amber Infrastructure Limited provided funding for the ...

This story continues at 2MW battery makes and saves money at UK fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uFM17M
via Yuichun

Luxoft joins Daimler in software for next-gen cars

Luxoft Holdings is to set up an R&D centre in Berlin  with Daimler to develop the software for next generation cars. “This partnership presents an opportunity for progressive, forward thinking software developers to join the mobility revolution and shape how the next generation of drivers interact with cars,” says Luxoft md Alwin Bakkenes. The centre ...

This story continues at Luxoft joins Daimler in software for next-gen cars

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KYqxhE
via Yuichun

2018年7月15日 星期日

Kirigami helps 3D nano-fabrication

The Chinese Academy of Sciences is applying the art of Kirigami to the science of nano-scale manufacturing. The Academy’s researchers use a focused ion beam to cut a precise pattern in a free-standing gold nanofilm, then use the same beam to pull the nanopattern into a 3D shape. The pulling forces were induced by heterogeneous ...

This story continues at Kirigami helps 3D nano-fabrication

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2uzcnIP
via Yuichun

Rolls looking for partners for flying taxi project

Rolls-Royce has designed an electric engine for a flying taxi and is looking for partners to develop an airframe and the electrical systems for a commercial product. The engine uses ‘gas turbine technology to generate electricity to power six electric propulsors specially designed to have a low noise profile’ says Rolls. The VTOL machine could ...

This story continues at Rolls looking for partners for flying taxi project

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2mjahsL
via Yuichun

Sequential 3D integration demo-ed

Imec and Soitec  have demo-ed a sequential 3D front-end integration process by stacking two device layers on one another on a 300mm wafer. This vertical integration of sequentially processed device layers, also named sequential-3D integration (S3D), is perceived as a promising alternative to continue the benefits offered by semiconductor scaling, overcoming the constraints of geometrical ...

This story continues at Sequential 3D integration demo-ed

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2zHKYdp
via Yuichun

Optical encoders from Illinois

Grayhill of Illinois has Series 62AG, 62NG and 62SG Optical Encoders, which feature optical switching technology which provide  tactile feedback to the user. The encoders are used in healthcare electronics, communication systems, navigation devices, precision test and measuring equipment, sound editing consoles, and automotive applications. Grayhill says its encoders have reduced shaft wobble; increased durability, including side load, impact load, ...

This story continues at Optical encoders from Illinois

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NSj7dq
via Yuichun

2018年7月13日 星期五

UK e-automotive firm welcomes EU truck CO2 limits

UK electronics and electric motor maker Avid Technology is offering its services to heavy duty vehicle manufacturers towards meeting the recent European Commission legislation for mandatory reductions in CO2 emissions. “Because heavy duty vehicles cover huge distances each year, the corresponding CO2 emissions are very high,” said Avid. “The announcement, a European first, means businesses manufacturing ...

This story continues at UK e-automotive firm welcomes EU truck CO2 limits

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KSO03Q
via Yuichun

Led driver puts out 1.6A at up to 38V, from 5-38V

LTM8005 from ADI operates from a 5V to 38V input voltage range and can deliver up to 1.6A of regulated output at up to 38V – provided the necessary external capacitors and inductor are added, and the maximum 10A switch current is observed. Buck-boost, buck and SEPIC topologies are also available from the same module, ...

This story continues at Led driver puts out 1.6A at up to 38V, from 5-38V

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2JioE9S
via Yuichun

Has Norway discovered the X factor for silicon-Li-ion cells

Norwegian researchers have discovered a way to stabilise silicon anodes for Li-ion batteries, according to the country’s Department of Energy Technology (IFE). Silicon anodes have the potential to far-exceed the lithium ion storage capacity of carbon anodes, if only they didn’t change size so much as they absorb the ions – as this physical swelling ...

This story continues at Has Norway discovered the X factor for silicon-Li-ion cells

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2ugrgR0
via Yuichun

Joining forces to strengthen IIoT

Two president-CEOs in a room does not often produce the bonhomie witnessed on the Mentor Graphics booth at DAC 2018, observes Caroline Hayes The adage ‘buyer beware’ would seem not to apply in the case of the acquisition of Mentor Graphics by Siemens PLM in March 2017. Mentor Graphics’ president and CEO, Wally Rhines and ...

This story continues at Joining forces to strengthen IIoT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2L6hYAA
via Yuichun

Samung increasing 2019 NAND capex from $6.4bn to $9bn

Samsung is to increase its 2019 NAND capex from $6.4 billion to $9 billion, says the Korean daily newspaper Chosun Ilbo. The money will mostly go to increasing output at Samsung’s NAND fab in Pyeongtaek. Chosun Ilbo says that the company’s recently announced 5th Gen NAND chips give it a two year industry lead. Earlier ...

This story continues at Samung increasing 2019 NAND capex from $6.4bn to $9bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NOajFp
via Yuichun

Intel buys eASIC

Intel is to buy eASIC, the 19 year-old Santa Clara structured ASIC specialist. Intel already had a structured ASIC capability with its HardCopy product line acquired with its purchase of Altera.. Intel didn’t say why it wants eASIC. It does, however, remove a competitor. 120 eASIC people will move over to the Intel programmable solutions ...

This story continues at Intel buys eASIC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KVZxPG
via Yuichun