2021年1月31日 星期日

Charter for human-robot co-working

The European charter for robots and humans working together has been officially released by the European Engineering Industries Association (EUnited). The document defines 10 focus areas to shape the future of work. The charter supports the UNITED NATIONS Sustainable Development Goals (SDGs) for 2030. “The transition to an increasingly automated and data driven economy requires ...

This story continues at Charter for human-robot co-working

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39FnUw1
via Yuichun

Infineon joins quantum computing projrct

Infineon is working in the joint project “German Quantum Computer based on Superconducting Qubits” (GeQCoS) together with Walther Meißner Institute (WMI) of the Bavarian Academy of Sciences and Humanities and the Technical University of Munich, the Karlsruhe Institute of Technology (KIT), the Friedrich Alexander University of Erlangen-Nuremberg (FAU), the Forschungszentrum Jülich (FZJ) and the Fraunhofer ...

This story continues at Infineon joins quantum computing projrct

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3cuxJhN
via Yuichun

NASA’s OSIRIS-REx to leave Bennu asteroid in May

NASA’s OSIRIS-REx spacecraft will say farewell to asteroid Bennu and begin its journey back to Earth in May, the agency has announced. OSIRIS-REx stands for “Origins, Spectral Interpretation, Resource Identification, Security, Regolith Explorer”. And back in 20 October 2020 the craft successfully collected samples from the surface of the Bennu asteroid. The journey back to ...

This story continues at NASA’s OSIRIS-REx to leave Bennu asteroid in May

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/36uMRYM
via Yuichun

Ten startups graduate from Catapult Augmentor programme

Ten UK startups have graduated from Digital Catapult’s Augmentor programme, with investment-ready, commercially focused applications ready to market. The 10 companies are: Transforming the criminal justice system Evidential (Manchester based) has produced EVITA, a major incident VR training platform that equips police officers with essential soft and hard skills. Backed by £1.3 million Innovate UK ...

This story continues at Ten startups graduate from Catapult Augmentor programme

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oz6ipt
via Yuichun

2021年1月29日 星期五

New material boosts magnets for free-electron lasers

Three US national laboratories have joined to create a half-meter-long prototype ‘undulator’ magnet using Niobium-3-tin. Undulators are used in free-electron lasers – lasers that create electromagnetic beams for research. Niobium-tin alloy is seen as an alternative to the incumbent niobium-titanium alloy. Niobium-titanium superconductors are good for lower magnetic fields, but stop superconducting at around 10 teslas, according ...

This story continues at New material boosts magnets for free-electron lasers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3t4kKJI
via Yuichun

2021年1月28日 星期四

Top Ten IC Growth Categories 2021

The top-10 fastest growing IC segments forecast for this year are shown in Figure 1. Each of the top-10 growing IC product categories is expected to see a double-digit increase in sales, but only the top-five segments are forecast to grow faster than the total IC market, which IC Insights projects will rise 12% this ...

This story continues at Top Ten IC Growth Categories 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pvjZY3
via Yuichun

Extra high-field current sensor extends performance of Melexis IMC-Hall technology

Melexis, the Belgian auto IC specialist,  has introduced an extra high-field current sensor which extends the ease and accuracy of its unique IMC-Hall technology into high-current measurement for emerging automotive applications. Capable of measuring current to beyond 2000 A, the MLX91216 XHF is suited to redundant monitoring of battery-management systems (BMS), over-current detection in smart ...

This story continues at Extra high-field current sensor extends performance of Melexis IMC-Hall technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3psLbGU
via Yuichun

Schurter announces power entry module with extended mounting options

Schurter’s power entry module, the DD11 – consisting of an IEC appliance plug (C14) with fuse holder and mains switch – is newly available in a version with flange and also with extended mounting options. Mounting is done with screws to the enclosure wall, says the company. The latest version has a wide metal flange, ...

This story continues at Schurter announces power entry module with extended mounting options

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oA2Hb2
via Yuichun

TT Electronics launches PAA and PAAM AC-DC power modules

TT Electronics has launched its PAA and PAAM series of AC-DC encapsulated power modules claiming they have industry leading power density and are suitable for space constrained industrial and medical electronic equipment applications. Available in power ratings ranging from 5 to 150 watts, the PAA encapsulated modules are PCB mountable in a compact footprint starting at ...

This story continues at TT Electronics launches PAA and PAAM AC-DC power modules

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YmcCGt
via Yuichun

Eddy current automotive position sensor commutates motors without needing magnets

Renesas is claiming “total stray field immunity” for a automotive-grade magnet-free inductive rotation position sensor that works up to what the company describes as “600,000rpm (electrical)”. “We are excited with the response to the inductive position sensing technology we introduced last June for industrial motors, and we look forward to bringing its advantages to automotive ...

This story continues at Eddy current automotive position sensor commutates motors without needing magnets

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MakEiY
via Yuichun

1,100W peak from a eighth brick converter

Flex Power Modules has created an eighth brick intermediate bus dc-dc converter series that can deliver 600 to 800W continuously along with up to 1,100W for up to a second. The high peak is for running CPUs such as Intel’s Ice Lakein datacom and data center applications. Called BMR492, it “delivers a power level that was ...

This story continues at 1,100W peak from a eighth brick converter

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ptkDFc
via Yuichun

ST cracks $10bn

ST had Q4 net revenues of  $3.24 billion; a gross margin of 38.8%; an operating margin of  20.3% and net income of $582 million. Full year  net revenues were  $10.22 billion; gross margin was  37.1%; operating margin was 12.9%; net income was  $1.11 billion. The forecast for Q1 is for net revenues of $2.93 billion ...

This story continues at ST cracks $10bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3t6umU6
via Yuichun

2021年1月27日 星期三

Apple astounds the market

Apple shipped 90 million phones in Q4 taking 23.4% market share and the No.1 slot in unit shipments while taking in revenues of $111.4 billion for a profit of $28.7 billion which was 29% higher than Q4 2019. 23.4%  represents the highest shipment volume from a vendor in a single quarter and represents 22.2% year-over-year ...

This story continues at Apple astounds the market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3olKvS5
via Yuichun

Buck-boost battery charging controller has MPPT

ADI has introduced a buck-boost battery charging controller that features Maximum Power Point Tracking (MPPT), temperature compensation and I2C interface for telemetry and control. The device operates from input voltages above, below or equal to the regulated battery float voltage. The LT8491 offers three selectable Constant Current Constant Voltage (CC-CV) charging profiles, making it ideal ...

This story continues at Buck-boost battery charging controller has MPPT

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a9Kko7
via Yuichun

Danisense RCM monitors residual currents without fast shutdown

Danisense has launched its  RCMH070IB+ Residual Current Monitor (RCM) which issuitable for monitoring the residual currents in electrical power systems without the need for fast system shutdown. The RCM can measure DC and AC residual currents from 30mA to 2Arms at frequencies of up to 100kHz, suiting it to a wide range of industrial applications, loads ...

This story continues at Danisense RCM monitors residual currents without fast shutdown

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MaAJp0
via Yuichun

JAXA turns from gold to metal mesh for deployable reflectors

The Japan Aerospace Exploration Agency (JAXA) has developed a metal mesh for onboard deployable reflectors in space, replacing the need for using precious and rare metal. It was jointly developed by JAXA along with the companies Taiyo Wire Cloth, NGK Insulators, Technosolver and Koyo Materica, and achieves a dramatic cut in costs. The agency writes: ...

This story continues at JAXA turns from gold to metal mesh for deployable reflectors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KTg4Fc
via Yuichun

Efficient 10:1 DC input range converter

Murata has a 300W chassis mount ultra-wide 10:1 DC input range converter with patented inrush current control topology and high efficiency >92%. The IRV300 is a fit-and-forget module designed for harsh operating environments in railway and industrial applications. It conforms to EN50155, EN50121, and EN45545, and has an operating ambient temperature range of -40°C to ...

This story continues at Efficient 10:1 DC input range converter

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3agQGlI
via Yuichun

Versatile SoM

The Kontron SOM-BL STM32MP157, now available from Riverview Display Systems (RDS), is suitable  for developers and makers who can use it for a variety of projects, for proof of concept or prototyping. The System-on-Module (SoM) has enough processing power for complex visualisation tasks, a variety of peripheral interfaces and all the drivers required for communication ...

This story continues at Versatile SoM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a8Bnex
via Yuichun

Osram aims at outdoor lighting with 2424 chip-scale LED

Osram has put a 2.1 x 2.1mm die into a 2.4 x 2.4 x 0.6mm package to create an LED for outdoor lighting. Called Osconiq C 2424, “because the package and chip have approximately the same dimensions, it is considered a chip-scale package. This allows the individual LEDs in the luminaire to be placed close ...

This story continues at Osram aims at outdoor lighting with 2424 chip-scale LED

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3iRYcHs
via Yuichun

2020 IC market grew 10%

The 2021 McLean Report from IC Insights is out and contains a comparison of several key market metrics for 2020 and 2021 as shown here: Throughout its history, the IC industry has experienced amazing highs and endured gut-wrenching lows, but nothing has quite matched the challenge presented in 2020 when the Covid-19 coronavirus pandemic swept around ...

This story continues at 2020 IC market grew 10%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3iQEFHd
via Yuichun

TI’s Q4 is up 22% y-o-y

TI saw Q4 revenue rise 22% y-o-y to $4.08 billion with a margin of 64.92% citing “strong demand in automotive, personal electronics and industrial markets.” Analogue revenue grew 9% and Embedded Processing grew 11% sequentially. From a year ago, Analog revenue grew 25% and Embedded Processing grew 14%. Cash flow from operations  was $6.1 billion for ...

This story continues at TI’s Q4 is up 22% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pqOU7J
via Yuichun

2021年1月26日 星期二

Graphcore launches Academic Programme

Graphcore has launched its Academic Programme, extending the company’s commitment to supporting universities and other institutions exploring new applications and approaches to artificial intelligence. The Graphcore Academic Programme is aimed at researchers, principal investigators, professors, Masters and PhD students, and PostDocs around the world who wish to use Graphcore systems in their research or teaching. ...

This story continues at Graphcore launches Academic Programme

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a5jayw
via Yuichun

UK space tech to be installed on ISS

Two astronauts will today undertake a spacewalk to install UK technology on the International Space Station (ISS), marking the UK’s first major industrial contribution to the spacecraft. Called ColKa for ‘Columbus Ka-band Terminal’, the UK Space Agency-funded system will revolutionise scientists’ ability in the UK and Europe to access the results of their space-based experiments. The ...

This story continues at UK space tech to be installed on ISS

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3okNHxp
via Yuichun

MediaTek collaborates on carrier aggregation and VoNR with Swisscom, Ericsson and OPPO

Mediatek has reached a 5G carrier aggregation (CA) and Voice over New Radio (VoNR) milestone in collaboration with Swisscom, Ericsson and OPPO. Through this joint initiative, 5G CA between frequency division duplex (FDD) low band and time division duplex (TDD) mid band was achieved in both Non-Standalone (NSA) and Standalone (SA) network architectures. FDD was ...

This story continues at MediaTek collaborates on carrier aggregation and VoNR with Swisscom, Ericsson and OPPO

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M6VKRq
via Yuichun

binder M12-A connectors combine power, signal contacts

The circular connector specialist binder has announced a new range of overmoulded M12 A-coded connectors, for industrial applications involving constrained spaces. Its hybrid design means the single-cable solution contains both power and signal contacts, highlights the company. Designed specifically for demanding outdoor applications, the connectors protect to IP67 when mated and the operating temperature range ...

This story continues at binder M12-A connectors combine power, signal contacts

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2KVCNRc
via Yuichun

Charcroft extends board after acquisitions

Vicky Mellor has joined Charcroft as a director of Powys distributor and manufacturer Charcroft. “This is an exciting time to join Charcroft Electronics,” said Mellor. “Despite the challenges posed by Covid, Charcroft has continued to flourish. With the recent acquisition of ICW and growing market share in existing sectors, I am looking forward to becoming ...

This story continues at Charcroft extends board after acquisitions

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ccIVQ5
via Yuichun

TME adds Microchip IoT dev kits

Wi-Fi and Bluetooth IoT modules from Microchip, based on 8- and 16-bit PIC or AVR microcontrollers are available from TME. The distributor offers six modules with smart, connected or secure functionalities implemented using a dedicated microcontroller, comms module or authentication chip. The AVR-based or PCI-based modules have a debugger for use with the IDE for ...

This story continues at TME adds Microchip IoT dev kits

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pporrk
via Yuichun

STMicroelectronics joins Zeta Alliance for access to its LPWAN

STMicroelectronics has joined the Zeta Alliance, which promotes the Zeta low-power wide area network (LPWAN). Zeta is a competitor to LoRa, Sigfox and NB-IoT, and came out of Shanghai-based ZiFiSense. “ST is actively engaged with the industry bodies for all leading LPWAN standards for IoT connectivity and offers a portfolio of solutions,” according to ST. “Zeta ...

This story continues at STMicroelectronics joins Zeta Alliance for access to its LPWAN

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3iPf3dT
via Yuichun

Nitrogen doping helps make a stable anode for sodium ion batteries

Sodium-ion technology would be a cheaper alternative to lithium-ions for batteries, if only its propensity to self-destruct could be tamed. Advances are being made in small steps, the latest of which is an anode material from the Korea Maritime and Ocean University which has kept working through 11,000 charge-discharge cycles at 100A per gram. One ...

This story continues at Nitrogen doping helps make a stable anode for sodium ion batteries

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MnuP3I
via Yuichun

U-blox shrinks cellular plus GNSS module

U-blox has combined LPWA (low-power wide-area) connectivity and GNSS (satellite navigation) into a single 14 x 14 x 1.5mm package – half the size of the company’s functionally-similar Sara-R5 module (16 x 26 x 2.2mm). Called Alex-R5, it is based on u-blox’ secured UBX-R5 LTE-M and NB-IoT, plus its M8 GNSS chip. “By bringing all ...

This story continues at U-blox shrinks cellular plus GNSS module

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Nx8C3v
via Yuichun

Graphcore democratises the datacentre

Graphcore and Cirrascale Cloud Services are harnessing the full power of AI in the cloud with the first publicly available Mk2 IPU-POD scale-out cluster, offering a simple way to add compute capacity on-demand, without the need to own and operate a datacentre. Graphcore recognises that the tremendous opportunity offered by AI brings with it a unique set ...

This story continues at Graphcore democratises the datacentre

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2YbKzcy
via Yuichun

2021年1月25日 星期一

SEMI asks US government to align export restrictions with other countries

Ajit Manocha, CEO of SEMI the chip manufacturing equipment trade body, has written to the US Department of Commerce asking that it should agree common rules with the governments of other equipment exporting countries instead of putting unilateral export restrictions on US companies. Manocha said that non-US competitors were tagging their equipment  as “free from ...

This story continues at SEMI asks US government to align export restrictions with other countries

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M4YqyX
via Yuichun

5G auction postponed till March

Ofcom has put back the auction of the 700MHz and 3.6-3.8GHz radio bands until March. The spectrum is being offered with a reserve price of over £1 billion. Its use should improve the coverage, capacity and quality of mobile data. 80MHz within the 700MHz band is to be sold in six lots of 10MHz with ...

This story continues at 5G auction postponed till March

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a6k9hU
via Yuichun

Imperas updates RISC-V verification software

The latest addition to the Imperas RISC-V Verification IP (VIP) software has Floating-Point architectural validation test suites covering the RISC-V Specifications for 32bit Single-Precision (32F), 64bit Single-Precision (64F), and 64bit Double-Precision (64D). These tests extend the current Imperas range of tests for ratified and near-ratified specifications tests. The latest RISC-V verification ‘step-and-compare’ methodology can be ...

This story continues at Imperas updates RISC-V verification software

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3cjIEuC
via Yuichun

Nasa unveils powerful addition to Deep Space Network

Nasa has added a powerful new antenna – Deep Space Station 56 (DSS-56) – to its Space Communications and Navigation’s Deep Space Network (DSN). This network connects the agency to the space robots exploring the solar system, it says. And the dish is now online and ready to communicate with a variety of missions. These ...

This story continues at Nasa unveils powerful addition to Deep Space Network

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3a6IwvN
via Yuichun

NEC demo-es stable distributed MIMO in 28GHz band

NEC has demonstrated multiple highly stable simultaneous  terminal connections and transmission capacity in a real office environment through distributed-MIMO  in the 28 GHz millimetre- wave frequency band. This demonstration achieved approximately 3 times the number of simultaneous connections and transmission capacity compared to cases without distributed-MIMO in the 28 GHz frequency band. Moreover, transmission deterioration ...

This story continues at NEC demo-es stable distributed MIMO in 28GHz band

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M2lqhW
via Yuichun

Infineon adds to EiceDRIVER portfolio

Infineon has  broadened its EiceDRIVER portfolio with the new 24 V dual-channel low side gate driver with an integrated thermal pad. It can be operated with high switching frequencies as well as highest peak output currents and offers an enable function. The gate driver is suitable for applications with higher switching frequencies such as power ...

This story continues at Infineon adds to EiceDRIVER portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/36atgx6
via Yuichun

Food safe entry plates for enclosures

Icotek KEL-DPZ-HD cable entry plates have been specially developed for the use in the food and pharmaceutical industries. “Working within the hygienic design [EHEDG] guidelines, Icotek have taken great care to make the surface very smooth, avoiding any dirt-collecting recesses on the visible side. The outer contour radius of 6mm, rather than the required minimum of 3mm, ...

This story continues at Food safe entry plates for enclosures

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3c5L8gl
via Yuichun

Dual low-side gate drive delivers 20V and 10A

Infineon is aiming at power factor correction and synchronous rectification with a 24V 10A dual channel low-side gate driver for mosfets and IGBTs. Called 2ED24427N01F, it provides symmetrical source and sink capability with 450mΩ (max) source and sink on-resistance per channel and 55ns propagation delay. The package is a 5 x 6mm DSO-8 with a solder-down ...

This story continues at Dual low-side gate drive delivers 20V and 10A

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oautdO
via Yuichun

2021年1月24日 星期日

Intel Committed To Process Technology Leadership

Pat Gelsinger (pictured) spooked Wall Street  on Friday by saying he’s committed to Intel regaining process technology leadership when he takes over on February 15th. ‘Clearly, we’re not interested in just closing gaps,” said Gelsinger, “ we’re interested in resuming that position of the unquestioned leader in process technology, and that’s our commitment.” The financial ...

This story continues at Intel Committed To Process Technology Leadership

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qOazHo
via Yuichun

GlobalWafers bids $4.4bn for Siltronic

GlobalWafers of Taiwan has bid €4.4 billion for Germany’s Siltronic. The bid of €145 a share topped a previous bid of €125 made on December 10th. GlobalWafers said its latest offer represents fair value and is final. Siltronic shares rose 4% to close at €145.05 on Friday. The Siltronic board said it “considers the tender ...

This story continues at GlobalWafers bids $4.4bn for Siltronic

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NzZgUT
via Yuichun

Newport Wafer Fab looks to double capacity

Newport Wafer Fab aims to raise $50 million to increase production from 8000 wafers a week to 14,000 wafers a week, reports The Telegraph. The company has had record orders for its power ICs. CEO Drew Nelson (pictured) says demand has “absolutely sky-rocketed’ in the last three months. The company is talking to private equity ...

This story continues at Newport Wafer Fab looks to double capacity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/368LwXz
via Yuichun

Germany to boost European chip manufacturing

German Economy Minister Peter Altmaier has sent a letter to Taiwan’s Minister for Economic Affairs Wang Mei-hua asking her to help solve the chip shortage being suffered by the German car makers. “I would be pleased if you could take on this matter and underline the importance of additional semiconductor capacities for the German automotive industry ...

This story continues at Germany to boost European chip manufacturing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3afWyM3
via Yuichun

Fujitsu ID technology recognises masked shoppers

Fujitsu says it has developed vein and facial recognition ID authentication technology for shoppers which has a 99% success rate even when people are masked. Users wearing masks present challenges to conventional facial recognition filtering technologies however, with Fujitsu’s technology, differences in the appearance depending on the presence or absence of the mask can be absorbed ...

This story continues at Fujitsu ID technology recognises masked shoppers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/366cPS7
via Yuichun

Loon doomed

Project Loon is to be scrapped. The nine year-old Google project to beam internet from balloons is being closed down in the face of little interest from governments and potential investors. The progress of Elon Musk’s 12,000 satellite Starlink constellation to deliver worldwide broadband may also have put off potential Loon backers. The amount of ...

This story continues at Loon doomed

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oenyjT
via Yuichun

Iodine serves to propel satellite in space

For the first time, a telecommunications satellite has used an iodine propellant to change its orbit around Earth, reports the European Space Agency (ESA). Specifically, it has been used in an electric thruster that controls the satellite’s height above Earth. Iodine is described as being less expensive and simpler to use than traditional propellants. This ...

This story continues at Iodine serves to propel satellite in space

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sUVOo0
via Yuichun

2021年1月22日 星期五

ASPs on the up

Renesas, NXP, and ST are among semiconductor manufacturers which have hiked prices by between 10 and 20%, reports the Nikkei, while Toshiba has entered into negotiations with customers about settling higher prices. Soaring demand and lack of capacity are likely to drive prices higher for the rest of the year. The car industry is in particularly bad ...

This story continues at ASPs on the up

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Y5fVBK
via Yuichun

Whitepaper: ON Semi – IoT and Energy Harvesting Zigbee Green Power Switches

A new whitepaper available on Electronics Weekly details energy harvesting for remote switches using Zigbee, for example for use in Smart Homes and Buildings. Download the whitepaper » Written by On Semiconductor, with an IoT focus, it covers how best to achieve the benefits of wireless, battery-free switches. It writes: “These devices offer unparalleled flexibility for deployment within buildings ...

This story continues at Whitepaper: ON Semi – IoT and Energy Harvesting Zigbee Green Power Switches

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sIshxP
via Yuichun

Arduino builds on the Raspberry Pi RP2040

Raspberry Pi launched its first microcontroller-class product yesterday – the RP2040 – and Arduino have now announced they are working with it for their own board, which will be the Arduino Nano RP2040 Connect. The RP2040 (right) was newly developed at the Raspberry Pi Foundation and the Pi Pico builds on it as a standalone ...

This story continues at Arduino builds on the Raspberry Pi RP2040

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qNrpGl
via Yuichun

Samsung plans $10bn 3nm Texas fsb

Samsung is planning to build a $10 billion 3nm fab alongside its existing fab in Austin Texas, reports Bloomberg. The plan involves financial help from the new US administration which is said to be currently under negotiation. The plan is to start building this year with manufacturing equipment to be installed in 2022 and first ...

This story continues at Samsung plans $10bn 3nm Texas fsb

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39SocOJ
via Yuichun

2021年1月21日 星期四

Polymer as strong as polyamide with 15x its bending fatigue limit.

Toray Industries has created a polymer that retains the outstanding thermal resistance, rigidity, and strength of polyamide 6 (PA6) while delivering a bending fatigue limit that is 15-fold that of conventional polymers. Prospective applications for such exceptional durability include automobiles, appliances, and sporting goods. Toray looks to initiate full-fledged sample work in fiscal year 2021 ...

This story continues at Polymer as strong as polyamide with 15x its bending fatigue limit.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qI5pwt
via Yuichun

UK gets a Space Sector Landscape Map

The Knowledge Transfer Network (KTN) and Uk Space Agency (UKSA) have mapped out a Space Sector Landscape for the UK. The tool outlines the universities, companies, funding bodies and networks that collectively form the nation’s space industry. You can view the interactive map online, to drill down into the data by various categorises – see ...

This story continues at UK gets a Space Sector Landscape Map

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p3ujWS
via Yuichun

Ultra-wide DC-DC converters have 91%+ efficiency

Murata has brought out  two new ultra-wide 10:1 ratio DC-DC converters, the 250W IRH-W80 half-brick and the 150W IRQ-W80 quarter-brick from Murata Power Solutions. Both high power density modules feature efficiency levels above 91% with a 16 – 160 Vdc input voltage range. The 250W IRH-W80 and 150W IRQ-W80 modules are designed for embedded applications ...

This story continues at Ultra-wide DC-DC converters have 91%+ efficiency

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qE43CX
via Yuichun

GNSS front end integrates impedance matching and ESD circuitry

ST’s BPF8089-01SC6 RF front-end for GNSS integrates the impedance-matching and ESD protection circuitry typically implemented using discrete components. The device provides a 50Ω matched interface between the receiver’s antenna and low-noise amplifier (LNA), and is ready to plug-and-play with ST’s STA8089 and STA8090 LNAs. The chip typically replaces a matching network containing up to five capacitors, ...

This story continues at GNSS front end integrates impedance matching and ESD circuitry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3iMwrQJ
via Yuichun

Raspberry Pi Pico

Farnell has today announced availability of the first product built on Raspberry Pi-designed silicon: Raspberry Pi Pico. It brings Raspberry Pi’s signature values of high performance, low cost, and ease of use to the microcontroller market, in a game-changing $4 development kit. Farnell customers will be able to purchase the Raspberry Pi Pico from the Farnell ...

This story continues at Raspberry Pi Pico

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p5106p
via Yuichun

2021年1月20日 星期三

Four European Network Heavyweights Back O-RAN

Deutsche Telekom, Orange, Telefónica, and Vodafone have issued a joint MOU about O-RAN roll-out. The operators said they will work together with existing and new ecosystem partners like the O-RAN Alliance and the Telecom Infra Project (TIP) to ensure that O-RAN quickly reaches competitive parity with traditional solutions. “Open RAN is the natural evolution of ...

This story continues at Four European Network Heavyweights Back O-RAN

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Npo6qo
via Yuichun

ICs complete RF signal chain

Renesas has added to its portfolio for macro base transceiver stations (BTS) with four devices, offering customers access to a complete RF signal chain. This expansion includes quad-channel F4482/1 TX variable gain amplifiers (VGA) and the F011x family of dual-channel first-stage low noise amplifiers (LNA). The device set also includes the F1471 RF driver amplifier ...

This story continues at ICs complete RF signal chain

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p2CgeU
via Yuichun

Element14 rallies disaster responses

Element14 is giving its members the opportunity to come up with creative and altruistic solutions for global disasters. Members are encouraged to think outside the box and share ideas that could potentially be used to combat anything from global droughts and flooding, to world hunger or even complications from long term COVID-19 symptoms or other ...

This story continues at Element14 rallies disaster responses

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M9nRiu
via Yuichun

SpaceX passes Starlink 1,000-satellite launch mark

The UK government-owned OneWeb may be scaling back on its planned satellite broadband constellation, but Elon Musk’s rival SpaceX is pressing ahead: the latest deployment of 60 Starlink satellites sees the total pass 1,000 launched. It means 1,015 Starlink satellites have been delivered into space, although it is reported that of those 951 are actually still ...

This story continues at SpaceX passes Starlink 1,000-satellite launch mark

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p3sFol
via Yuichun

Elektra 2020 – Vote for the University Research Readers’ Choice award

As we always say, this is your chance to shape the destination of another Elektra 2020 Award. We are looking for readers’ votes in the University Research category. In this category, visitors to the Electronics Weekly website are invited to select the University research project which they feel will make the largest impact on the ...

This story continues at Elektra 2020 – Vote for the University Research Readers’ Choice award

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pOgNGM
via Yuichun

Trump pardons Levandowski

Anthony Levandowski (pictured) the autonomous driving pioneer who downloaded 14,000 Waymo files before moving to Uber Technologies, has been pardoned by outgoing US president Donald Trump. In August Levandowski was sentenced to 18 months in jail after pleading guilty to the theft. Levandowski was also ordered to pay Google $756,499 in restitution and was fined ...

This story continues at Trump pardons Levandowski

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sQEvV8
via Yuichun

Semiconductor R&D spend to rise 4%

Semiconductor industry R&D spending will rise 4% in 2021, after a record 2020, says IC Insights. Intel stays on top of the R&D ranking, but its share of total industry R&D expenditures dipped after its spending decreased 4% in 2020.  AMD moved into the R&D top 10. Research and development spending by semiconductor companies worldwide ...

This story continues at Semiconductor R&D spend to rise 4%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3is35H5
via Yuichun

2021年1月19日 星期二

2021 IC industry to grow 18%

The chip industry will grow 18% this year to reach a market size of $520.6 billion, forecast Malcolm Penn (pictured) CEO of Future Horizons, at IFS 2021 yesterday. Three out of the four leading market indicators: demand, capacity and prices are all pointing to a big growth year. The only exception being the general economy ...

This story continues at 2021 IC industry to grow 18%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bUmkaR
via Yuichun

Leti researchers use RRAM for ML

CEA-Leti scientists have demonstrated a machine-learning technique exploiting what have been previously considered as “non-ideal” traits of resistive-RAM (RRAM) devices, overcoming barriers to developing RRAM-based edge-learning systems.  The research team demonstrated how RRAM, or memristor, technology can be used to create intelligent systems that learn locally at the edge, independent of the cloud. The learning algorithms ...

This story continues at Leti researchers use RRAM for ML

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38ZzSQv
via Yuichun

OneWeb massively scales back constellation plans

OneWeb is reducing the planned size of its satellite constellation, down from 47,884 to 6,372 satellites. The Low Earth Orbit (LEO) satellite communications company – which is part owned by the UK government since June 2020, along with Bharti Global and the SoftBank Group – informed the US Federal Communications Commission (FCC) of the reduction ...

This story continues at OneWeb massively scales back constellation plans

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35Wk9jm
via Yuichun

On Semi supplies Quuppa locating system for BLE SoC

ON Semiconductor is supplying the Quuppa Intelligent Locating System for the RSL10, the Flash-based BLE radio SoC. Provided in a CMSIS-Pack format, the solution allows manufacturers to design ultra-low-power indoor asset tracking applications with Direction Finding features and advanced Angle of Arrival (AoA) technology. The Quuppa Intelligent Locating System is a  platform for location-based services and ...

This story continues at On Semi supplies Quuppa locating system for BLE SoC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ivQxP2
via Yuichun

Farnell signs global agreement with National Instruments

Expanding its test and measurement offering, Farnell has signed a global agreement with NI (formerly National Instruments). As an authorised NI distributor, the company will offer NI software-connected test and measurement products from its automated test systems portfolio. The automated tests allow engineers to accelerate testing with critical, automated test and measurement equipment and free ...

This story continues at Farnell signs global agreement with National Instruments

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p19VWf
via Yuichun

2.6kW hydrogen fuel cell extends drone range

Hydrogen fuel cells are now an option for powering drones from Doosan Mobility Innovation. With two hours of flight time, according to power supply maker Vicor, such drones have already transported masks and emergency supplies between US Virgin Islands, and have delivered medical devices to the 6,388 ft top of the tallest mountain in South Korea, ...

This story continues at 2.6kW hydrogen fuel cell extends drone range

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2NdExWx
via Yuichun

Renesas looks to improve AI processing with Cortex-A55 MCU

Improving AI processing in 64bit general purpose MCUs is the aim Renesas with three new members of its RZ/G2 MCU family. The entry-level models are built around the Arm Cortex-A55 core. According to Renesas, the Cortex-A55 CPU core delivers approximately 20% improved processing performance compared with the earlier Cortex-A53 core, and is approximately six times ...

This story continues at Renesas looks to improve AI processing with Cortex-A55 MCU

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qx6aIt
via Yuichun

LI series caters for building automation

A family of compact DIN rail power supplies from Mornsun, the LI series, is available from Relec Electronics. The 30W, 60W and 100W DIN rail power supplies are available with 5V, 12V, 15V, 24V and 48V outputs as standards. Outputs can be trimmed from -10/+20% on some models. The Mornsun LI30, LI60 and LI100 power ...

This story continues at LI series caters for building automation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LKZacq
via Yuichun

Strain gage sensors can be installed without solder

Stress analysis strain gage sensors from Micro-Measurements have pre-attached lead wires to eliminate soldering. The C4A series can be used for simulation and analysis in structural design verification, and can be used for real-time condition monitoring bridges, dams, ships and wind turbines. The sensors are available from New Yorker Electronics. The lead wires are claimed to ...

This story continues at Strain gage sensors can be installed without solder

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38UjFfx
via Yuichun

2021年1月18日 星期一

Arm China head scoops $179m

Allen Wu (pictured) head of Arm China, has made a $179 million gain on a $20 million 2019 investment he made in an  Arm licensee – audio IC designer Bestechnic – which IPO’d last year. Wu was dismissed from Arm last year but refused to leave and remains Arm China’s legally recognised representative and holder ...

This story continues at Arm China head scoops $179m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qvGnAy
via Yuichun

CPI joins Quantum Sensor project

The Centre for Process Innovation (CPI) is joining the Quantum Sensors project – to develop sensors for revolutionising quality assessment and battery grading in the manufacturing line.  The Quantum Sensors project is a collaboration between 11 partners in the supply chain to develop a pilot scale system capable of continuous end-of-line testing of battery cells using ...

This story continues at CPI joins Quantum Sensor project

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oYDWpU
via Yuichun

NXP sampling WiFi6 chips for 6GHz band

NXP is sampling Wi-Fi 6 devices that can operate in the 6GHz band with its new CW641 Wi-Fi 6E Tri-Band SoC. With increasing congestion in the legacy 2.4GHz and 5GHz bands, the US FCC has approved 1.2GHz of unlicensed spectrum for the 6GHz band along with other regions around the world, which will transform the ...

This story continues at NXP sampling WiFi6 chips for 6GHz band

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Kr5mpe
via Yuichun

Sweet Home Alabama, sings U.S. Space Command

The U.S. Space Command is set to make its new home at the Redstone Arsenal in Huntsville, Alabama, a centre for developing and testing U.S. Army missile programs. Space Command – a unified combatant command of the United States Department of Defense – is held responsible for U.S. military operations in space. Created in 1985, ...

This story continues at Sweet Home Alabama, sings U.S. Space Command

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sErIon
via Yuichun

IQD adds 5V atomic clock

IQD has added a 5V atomic clock to its portfolio bridging the gap between the 12 V powered IQRB-1, IQRB-2 and IQRB-3 and the recently released 3.3 V powered ICPT-1.  The IQRB-4 offers  short term and long term stability and good phase noise in a 50.8 x 50.8 x 25 mm package.  In addition to the ...

This story continues at IQD adds 5V atomic clock

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35Wkra2
via Yuichun

Perovskite defect might lead to transparent conductor

A defect found in barium stannate (BaSnO3) perovskite crystals could one day lead to a new type of transparent conductive film, according to scientists at the University of Minnesota. The defect is a one-dimensional (line) defect that runs through single crystals of the material aligned with one of the crystal axis. “The conductive nature and ...

This story continues at Perovskite defect might lead to transparent conductor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2M22EXA
via Yuichun

2021年1月17日 星期日

US car industry lobbies Biden to get its chips

The US car industry is asking the incoming Biden administration to put pressure on the Asian foundries to switch from running consumer and mobile wafers to running auto IC wafers. The American Automotive Policy Council – which lobbies for General Motors, Ford and Fiat-Chrysler – is pushing the US Department of Commerce and the Biden ...

This story continues at US car industry lobbies Biden to get its chips

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39FngNM
via Yuichun

Report: CES 2021

CES 2021 had 1,960 on-line exhibitors, less than half the live exhibitors at CES 2020 and virtual attendance was 69,523, about 40% of the 2020 in-person attendance, reports Semiconductor Intelligence. Some Chinese companies with a major presence in past CES shows were absent in 2021 – including Huawei and Haier. Huawei is currently banned from ...

This story continues at Report: CES 2021

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nTlpK8
via Yuichun

US spectrum auction raises $80bn

Last Friday, the FCC announced that bidding had concluded in the clock phase of the C-Band auction (Auction 107). The FCC said that the first phase in the largest auction of mid-band 5G spectrum to date had produced gross proceeds in excess of $80.9 billion for licenses comprising 280 MHz of spectrum in the 3.7-3.98 ...

This story continues at US spectrum auction raises $80bn

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38RfWiE
via Yuichun

Meters fitted with LoRaWAN connectivity

Alpha-Omega Technology (AO-T), a meter specialist, has incorporated the LoRaWAN protocol using Semtech devices into its new KLAX line of smart meter interface modules for enterprise and consumer utility management. AO-T’s applications leveraging the LoRaWANprotocol enable deployment and retrofit of legacy metering solutions for real-time utility data transfer over LoRaWAN networks. AO-T’s KLAX module monitors energy and gas ...

This story continues at Meters fitted with LoRaWAN connectivity

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bSLfvt
via Yuichun

Orion spacecraft is ready for Moon orbit

NASA’s Orion spacecraft is ready for its mission to the Moon declares Lockheed Martin, after the company announced the completed assembly and testing of the Artemis I mission craft. Orion is NASA’s new human-rated exploration-class spaceship that is due to take astronauts into space, including the Moon and Mars. Lockheed Martin was the prime contractor ...

This story continues at Orion spacecraft is ready for Moon orbit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oYeVLi
via Yuichun

SSR integrates current limiting and thermal shutdown circuits

Littelfuse claims that its CPC1561B Solid State Relay (SSR) is the highest current (1 A, 60 V) normally-open SSR currently available on the market to integrate both current limiting and thermal shutdown circuits. The small, surface-mount CPC1561B SSR’s dual fault protection benefit provides a rugged solution for demanding applications that is not possible when using ...

This story continues at SSR integrates current limiting and thermal shutdown circuits

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39GNoHZ
via Yuichun

2021年1月15日 星期五

Webinar: Embedded Security, Solving the Threat of Cyber Attacks

For those working in the important area of embedded security, please note the forthcoming webinar: “Revolutionizing Embedded Security – Solving the Threat of Cyber Attacks”. It is presented by David Maidment, director of Secure Devices Ecosystem within the Architecture and Technology Group at Arm, and among other topics he’ll be examining PSA Certified and Root ...

This story continues at Webinar: Embedded Security, Solving the Threat of Cyber Attacks

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XIz2kO
via Yuichun

Six new Boeing solar arrays to power International Space Station

Boeing is to deliver six additional solar arrays to NASA for the International Space Station. The new arrays will increase the on-board laboratory’s power supply and installation is scheduled to begin in 2021. The new 63-foot-by-20-foot (19-meter-by-6-meter) arrays will together produce 120 kilowatts of electricity via the sun’s energy, says Boeing. They will combine with ...

This story continues at Six new Boeing solar arrays to power International Space Station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38LHdTK
via Yuichun

Hex-core Rockchip 3399 single board computer from Novasom

Novasom Industries M9 single board computer is built around a Rockchip 3399, which has dual Arm Cortex-A72 cores, quad Cortex-A53 cores and Mali-T860MP4 graphics. The chip, according to Rockchip, supports multi-format video decoders including H.264/H.265/VP9 up to 4K x 2K at 60frame/s, including 10 bit H.264/H.265 decode and H.264/MVC/VP8 encode to 1080p at 30frame/s. The “embedded ...

This story continues at Hex-core Rockchip 3399 single board computer from Novasom

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35HIGbP
via Yuichun

UK rocket firm plans space tug to clean up orbiting junk

 Skyrora, the Edinburgh rocket company, has completed a successful test firing of  the upper stage of its rocket Skyrora XL. The rocket is intended to be a ‘space tug’ that could tow satellites into different orbits, replace old satellites and clean up space junk.  Skyrora’s plan is to launch a rocket in 2022/3 which will ...

This story continues at UK rocket firm plans space tug to clean up orbiting junk

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bGj6Yl
via Yuichun

TT Electronics and Thales in cyber security initiative

TT Electronics today announced a collaboration agreement with Thales to enable future development of operational technology (OT) based cyber security initiatives and research. These programmes will be delivered out of the National Digital Exploitation Centre (NDEC) in Ebbw Vale, South Wales – a project cofounded by the Welsh Government, Thales and University of South Wales. ...

This story continues at TT Electronics and Thales in cyber security initiative

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nJu9mc
via Yuichun

2021年1月14日 星期四

MPS and EPC target data centre with eGaN transistor-based products

Monolithic Power Systems (MPS) has launched a new family of 48 V – 6V digital DC-DC power modules for 48 V data  centre products using eGaN transistors from Efficient Power Conversion (EPC) Corporation. These power modules target applications for high density computing and data centers, artificial intelligence, machine learning, and multi-user gaming. The MPC1100-54-0000 is the ...

This story continues at MPS and EPC target data centre with eGaN transistor-based products

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oNeEej
via Yuichun

Semi industry grew 7.3% in 2020

The semiconductor industry grew 7.3% in 2020, reports Gartner, to reach $449.8 billion. “In early 2020, the expectation was that COVID-19 would have a negative impact across all end equipment markets, but the actual effect was more nuanced,” said Gartner vp Andrew Norwood, “automotive, industrial and some areas of the consumer market were hit hard by ...

This story continues at Semi industry grew 7.3% in 2020

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3bI2VKq
via Yuichun

Insight SIP signs Steliau

Insight SiP,  the miniature RF module specialist, has signed a distribution agreement with Steliau Technology, a French distributor of electronic components. The agreement allows Steliau Technology to sell RF modules with integrated antennas and combining several technologies: UWB / BLE and LoRa / BLE. Insight SIP’s multiradio modules allow customers to focus on their core ...

This story continues at Insight SIP signs Steliau

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39xJq4l
via Yuichun

NASA and JAXA agree on Lunar Gateway life support systems

NASA and JAXA (the Japan Aerospace Exploration Agency) have confirmed the Japanese will be working on critical life support systems within the Lunar Gateway, the next-generation replacement for the international space station. Under the agreement, Japan will be working on the Gateway’s International Habitation module (I-Hab), which is where the crew will live, work, and ...

This story continues at NASA and JAXA agree on Lunar Gateway life support systems

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LD0I89
via Yuichun

Qualcomm buys Nuvia

Qualcomm is to buy the two year-old processor IP startup Nuvia for $1.4 billion. Nuvia was founded in 2019 by former Apple and Google executives to design chips for data centres. It raised nearly $300 million in venture capital. Qualcomm says it plans to incorporate Nuvia’s CPUs across its portfolio, using them in smartphones, laptops, ...

This story continues at Qualcomm buys Nuvia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/38KFkXz
via Yuichun

Advanced Energy shrinks footprint for 3000W configurable AC/DC power supply

Available from Cambridge-based Components Bureau, the Excelsys CoolX 3000 series by Advanced Energy is packaged in a small form factor (300 x 131 x 120mm), for a smaller footprint in harsh industrial and medical applications. The intelligent, user-configurable modular power supply offers analogue and digital management. It is equipped with up to 24 isolated outputs, ...

This story continues at Advanced Energy shrinks footprint for 3000W configurable AC/DC power supply

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qjJ2x5
via Yuichun

TSMC budgets $25-28bn capex

TSMC announced this morning that it is budgeting between $25 billion to $28 billion for capex this year, up from $17.2 billion in 2020. Around 80% of that amount will be allocated to 7nm, 5nm and 3nm processes with 10% going 3D chip-stacking and packaging tech. Most of it will be going to 3nm which is ...

This story continues at TSMC budgets $25-28bn capex

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3idaYzT
via Yuichun

Chinese car maker chooses u-blox GNSS receiver

Xpeng Motors has selected u-blox F9 precision GNSS receiver for its P7 electric car. F9 receives GPS, GLONASS, Galileo and BeiDou satellite positioning signals and has been incorporated into the vehicle’s Xpilot 3.0 ADAS (driver assistance system) for use in navigation-guided driving, automated parking and autonomous driving. “For instance, once a navigation destination is set ...

This story continues at Chinese car maker chooses u-blox GNSS receiver

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3nHYlhm
via Yuichun

2021年1月13日 星期三

Intel to outsource Core i3s in H2

Intel is planning to begin mass production of Core i3 CPUs on TSMC’s 5nm node in 2H21, says TrendForce, while its mid-range and high-end CPUs are projected to enter mass production using TSMC’s 3nm node in 2H22. TrendForce believes that Intel will keep in-house production lines for chips with high margins. TSMC offers a diversity ...

This story continues at Intel to outsource Core i3s in H2

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XAK8IF
via Yuichun

OmniVision and Nextchip combine on auto vision

OmniVision and Nextchip – the automotive vision specialist – have come up with a product that is pre-tuned to provide high quality images for rearview cameras (RVCs), surround-view systems (SVS) and e-mirrors in low-end and midrange vehicles. The board includes OmniVision’s OX03C10, which combines a 3.0 micron pixel, high dynamic range (HDR) of up to 140dB ...

This story continues at OmniVision and Nextchip combine on auto vision

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2Lv2isN
via Yuichun

UK Space Agency CEO to step down

After four years in the position, Dr Graham Turnock is stepping down from the role of Chief Executive at the UK Space Agency (UKSA). Turnock has been in position for four years and will leave later this year. “It has been an immense privilege to serve as UK Space Agency Chief Executive during one of ...

This story continues at UK Space Agency CEO to step down

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3snMrwC
via Yuichun

RISC-V Beagle board

Seeed, BeagleBoard and StarFive have created BeagleV – a  RISC-V board designed to run Linux for $149. The board will be available for early access in March with larger availability in September. Starting from the release on January 13th, there are limited quantities at release, with all customers for the first batch of the 8GB ...

This story continues at RISC-V Beagle board

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XHdi8I
via Yuichun

38 x 38mm inductor handles 420A in saturation

Vishay Intertechnology has introduced a through-hole inductor that can handle 420A for 30% inductance reduction in saturation – all in a 38 x 38 x 22mm ‘1500’ case size. Called IHXL-1500VZ-51, it has a typical dc resistance (DCR) of 0.12mΩ and is rated for continuous operation at 150A and 155°C continuously. Hard saturation can be ...

This story continues at 38 x 38mm inductor handles 420A in saturation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35Djq6v
via Yuichun

Bennu asteroid spacecraft has parts from Southampton

Infra-red detectors produced by Leonardo in Southampton are currently travelling through space with Bennu, the 2bn year old asteroid, which is calculated to have a 1 in 3,000 chance of hitting Earth late in the 22nd century, according to the company. Arizona State University (ASU) selected Leonardo’s infra-red sensors for their Osiris-Rex thermal emission spectrometer ...

This story continues at Bennu asteroid spacecraft has parts from Southampton

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XC2h8N
via Yuichun

Gelsinger takes over at Intel

Intel has replaced Bob Swan with Pat Gelsinger (pictured). “Having begun my career at Intel and learned at the feet of Grove, Noyce and Moore, it’s my privilege and honor to return in this leadership capacity,” says Gelsinger. “I have tremendous regard for the company’s rich history and powerful technologies that have created the world’s ...

This story continues at Gelsinger takes over at Intel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3byUGQv
via Yuichun

Equalisers work up to 40Gz

Smiths Interconnect has released a series of high frequency surface mount chip equalisers for controlling gain variation up to 40GHz with a maximum slope of 4dB. Called the CEX series, the parts combine thin-film and thick-film processing, offer multiple slope (1-4 dB) and band options. They come in standard and high-frequency versions. In the high-frequency types ...

This story continues at Equalisers work up to 40Gz

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LNnLwZ
via Yuichun

Intelliconnect opens larger UK factory as demand grows

UK specialist connector maker Intelliconnect (Europe) has moved to a larger facility at the Corby Innovation Hub following 30% sales growth in 2020. “After a year of exceptional sales growth, we have relocated our sales, engineering and production facilities to larger premises and will be recruiting new staff at all levels including offering apprenticeships,” said ...

This story continues at Intelliconnect opens larger UK factory as demand grows

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XyLzau
via Yuichun

Toshiba adds Cortex-M based MCUs

Toshiba has added to its  TXZ+ MCU family with devices optimised for motor control systems, domestic appliances, human machine interfaces and connected IoT infrastructure based on Arm Cortex-M processor cores. They are made on a 40nm CMOS process that exhibits reduced operating and standby current levels. With different flash memory capacity options (up to 2 ...

This story continues at Toshiba adds Cortex-M based MCUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39r9SMZ
via Yuichun

1.5kW 1U rack power supply

XP Power has introduced a series of 1500W rated, 1U high, 19in rack-mount programmable dc power supplies. There are five units in the PLS1500 series, offering output voltages of 30, 50, 100, 200 and 400. Up to four units can be connected in parallel (with one in control) to deliver up to 6kW. Up to ...

This story continues at 1.5kW 1U rack power supply

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oHNd5l
via Yuichun

Socionext to use Synopsys’ HBM2E IP

 Socionext is expanding its use of Synopsys’ DesignWare IP to include HBM2E IP for memory throughput in AI and high-performance computing (HPC) applications. Socionext selected Synopsys’ HBM2E IP, operating at 3.6 Gbps, to meet the capacity, power, and compute performance requirements of its AI engine and accelerator SoC. The Synopsys IP provides efficient heterogeneous integration with the shortest ...

This story continues at Socionext to use Synopsys’ HBM2E IP

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2MPcrRh
via Yuichun

Telefonica to sell its masts

Telefonica is to sell its mobile phone masts in Europe and Latin America to US telecom infrastructure operator American Towers for $9.41 billion in cash. American Tower will acquire around 30,700 tower sites in Spain, Germany, Brazil, Chile, Peru and Argentina from Telefonica’s infrastructure unit Telxius. The New York private equity outfit KKR owns 40% ...

This story continues at Telefonica to sell its masts

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3i7IhV3
via Yuichun

2021年1月12日 星期二

2020 breaks record for chip M&A

2020 was a record year for M&A with agreements totalling $118 billion, says IC Insights, overtaking the previous record of $107.7 billion in 2015. Five big acquisition announcements and more than a dozen smaller deals in 2020 pushed the total value of M&A agreements in the year to the all-time high. The Big Five – ...

This story continues at 2020 breaks record for chip M&A

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3i9s3uL
via Yuichun

Nexperia claims first 80V RETs

Nexperia claims to have launched  the industry’s first 80 V RET (Resistor-Equipped Transistor) family. These RETs or ‘digital transistors’ provide enough headroom for use in 48 V automotive board net (e.g. mild hybrid and EV cars) and other higher voltage circuits which are often subject to large spikes and pulses that previous 50 V parts ...

This story continues at Nexperia claims first 80V RETs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3i4ZmPu
via Yuichun

Maxim has auto components certification tool

Designers can authenticate genuine auto components with Maxim’s DS28E40 DeepCover automotive secure authenticator from. As the newest addition to Maxim Integrated’s line of AEC-Q100 Grade 1 solutions for automotive systems, the DS28E40 is a parasitically-powered authenticator utilizing its 1-Wire interface for simple connectivity. This authenticator IC reduces the software vulnerability to ensure only genuine components ...

This story continues at Maxim has auto components certification tool

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/39nsX2C
via Yuichun

CEVA licensing upgraded sensor hub

CEVA is licensing a  2nd generation SensPro DSP family, a hub for AI and DSP processing workloads associated with a wide range of sensors including camera, Radar, LiDAR, Time-of-Flight, microphones and inertial measurement units (IMUs). SensPro2 delivers 6X more DSP processing for computer vision, 8X more DSP performance for Radar processing, a 2X improvement in ...

This story continues at CEVA licensing upgraded sensor hub

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LsLr9Z
via Yuichun

Thales Alenia Space to lead on on-orbit servicing EROSS+

Thales Alenia Space has been confirmed by the European Commission to develop work around the use of space robotics, involving the on-orbit servicing of space vehicles, a phase of work dubbed EROSS+. It builds on EROSS (European Robotic Orbital Support Services) and the idea is to support a range of operations in orbit, including controlled ...

This story continues at Thales Alenia Space to lead on on-orbit servicing EROSS+

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3i70MJ6
via Yuichun

Aluminium electrolytic capacitors withstand 150°C and 80g vibration

Cornell Dubilier distributor, New Yorker, now offers the expanded range of NHR-Slimpack, high temperature, prismatic capacitors. The flat aluminium electrolytic capacitors have a 3,000-hour life at full-rated conditions. In addition to high temperatures and vibration, the capacitors have been tested for altitudes up to 80,000ft, for military, aerospace, industrial, and down-hole applications. The manufacturer has ...

This story continues at Aluminium electrolytic capacitors withstand 150°C and 80g vibration

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oCy1GU
via Yuichun

8G Raspberry Pi 4 starter kit includes fanned aluminium case

RS Components is stocking a starter kit based on the 8Gbyte version of Raspberry Pi 4 Model B, that includes a sheet metal aluminium case with a fan and three heatsinks – the Pi 4 has pushed the thermal constraints of the Pi format about as far as it can go without a fan. “These hardware features enable ...

This story continues at 8G Raspberry Pi 4 starter kit includes fanned aluminium case

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35Qt5XF
via Yuichun

Eval board with Renesas driver and GaN Systems power transistors

Power transistor maker GaN Systems has teamed up with Renesas to produce evaluation kits that demonstrate appropriate drive techniques for GaN power transistors – which have desirable switching characteristics, but tricky gate drive requirements. They are based around Renesas’ RAA226110 low-side GaN FET driver. “These cards are the industry’s first to provide programmable over-current protection with ...

This story continues at Eval board with Renesas driver and GaN Systems power transistors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2LqQ7NB
via Yuichun

Digi-Key signs LogiSwitch for switch debounce with Arduino

Digi-Key Electronics has signed a worldwide distribution agreement with LogiSwitch, makers of the Arduino-friendly NoBounce line of ICs and switches. The company writes: LogiSwitch’s adaptive NoBounce technology eliminates the problem of having switch bounce regardless of the duration of the bounce. The designer does not have to worry about the impact of switch degradation over ...

This story continues at Digi-Key signs LogiSwitch for switch debounce with Arduino

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/2XBElSQ
via Yuichun

Custom enclosures from Farnell

Farnell is offering customisable high-end IP67 electronics enclosures from its private label brand Multicomp Pro. Using an online tool available on Farnell’s website, customers can now create a custom enclosure in minutes, moving from prototype into full production from a single configuration. IoT developments are growing exponentially as many companies look to tap into the ...

This story continues at Custom enclosures from Farnell

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/35zXpFz
via Yuichun

Yangtze aims at 100k wpm this year

Yangtze Memory plans to double its output of NAND wafers to 100k per month this year, reports the Nikkei. Samsung runs about 480k wpm and Micron about 180k. Yangtze currently makes 64 and 128 layer memories but intends to launch 192 layer devices this year which would put it on a par with the most ...

This story continues at Yangtze aims at 100k wpm this year

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3skCCiW
via Yuichun