2021年12月31日 星期五

Huawei expects 29% drop in 2021 revenues

Huawei expects 2021 revenue of $99.48 billion, 28.9% down on 2020. In a letter to employees, rotating chairman Guo Ping blamed “an unpredictable business environment, the politicisation of technology, and a growing deglobalisation movement.” US sanctions have denied Huawei acess to leading edge ICs, Android and the US telecoms market. “We need to stick to ...

This story continues at Huawei expects 29% drop in 2021 revenues

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ECND49
via Yuichun

Xi’an lockdown could reverse memory price trend

The China government’s lockdown of the city of Xi’an could reverse the trend on memory prices which had been heading down before the Covid outbreak in the city, NAND suppliers stopped quoting prices following a statement from Samsung saying “we have decided to temporarily adjust operations at our manufacturing facilities in Xi’an, China. We will ...

This story continues at Xi’an lockdown could reverse memory price trend

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3zcbWVD
via Yuichun

Techinsights invests for 3nm node

Techinsights, the IC reverse engineering specialists, has made significant investment in its lab technology, with the commitment to purchase the following in 2022: A new Raith CHIPSCANNER A new Ion Beam Etcher A new TALOS TEM from ThermoFisher (formerly FEI) “The Raith CHIPSCANNER will ‘future proof’ us, furthering TechInsights’ ability to image at the 3nm ...

This story continues at Techinsights invests for 3nm node

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qC5O57
via Yuichun

2021年12月30日 星期四

TUM develops spin materials for quantum computing

While conventional electronics relies on the transport of electrons, components that convey spin information alone may be many times more energy efficient. Physicists at the Technical University of Munich (TUM) and the Max Planck Institute for Solid State Research in Stuttgart have now made an important advance in the development of novel materials for such ...

This story continues at TUM develops spin materials for quantum computing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EH85Ru
via Yuichun

Capacitorless 3D DRAM

Capacitorless  indium-gallium-zinc-oxide (IGZO DRAM is a suitable candidate for realizing high-density 3D DRAM, says Imec. Imec has developed  a fully 300mm BEOL compatible IGZO-based capacitorless DRAM cell with >103s retention and unlimited (>1011) endurance. These results were obtained after selecting the most optimal integration scheme for the single IGZO transistors, i.e., a gate-last integration scheme ...

This story continues at Capacitorless 3D DRAM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mMYBOG
via Yuichun

Intel reported to be applying for Indian manufacturing incentives

  One of the companies said to be preparing to apply for government incentives to set up IC  manufacturing in India is Intel, reports The India Express. It is being said that the proposed plant would be aimed at the 18A generation of Intel’s processes, the most advanced on its announced schedule, which is slated ...

This story continues at Intel reported to be applying for Indian manufacturing incentives

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pDy7AU
via Yuichun

Signal generation for $109

MikroElektronika (MIKROE) has launched Waveform 4 Click – a member of its +1000-strong Click family of peripheral development boards – that operates as a signal generator for high-speed, high-dynamic-range, multichannel complex waveforms. Applications include as ultrasound transducer excitation, medical instrumentation, portable instrumentation, signal generators, and arbitrary waveform generators. Waveform 4 Click features Analog Devices’ AD9106, ...

This story continues at Signal generation for $109

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EFrIt9
via Yuichun

Yamaichi launches Y-SPE series

Yamaichi Electronics has brought our its Y-SPE, a series of connectors for Industrial Single Pair Ethernet (SPE) according to IEC 63171. The series initially includes both IP20 sockets and M12 sockets with IP67 protection for PCB mounting in accordance with IEC standards 63171-2 and -6. Single pair Ethernet offers the possibility of efficient data transmission ...

This story continues at Yamaichi launches Y-SPE series

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mI8sVK
via Yuichun

2021年12月29日 星期三

Northvolt starts battery production

Yesterday, Northvolt, the Swedish li-ion EV battery startup, produced its first battery cell. Backed by BMW, Baillie Gifford, Goldman Sachs, VW and Tesla, Northvolt’s ambition is to redress the imbalance of EV battery  production between Europe and Asia. Northvolt says it has already got orders worth $30 billion from VW, BMW, Polestar and Volvo. Northvolt’s ...

This story continues at Northvolt starts battery production

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32L9tW8
via Yuichun

Dung-powered tractor

The world’s first 100% methane powered tractor, backed by £9.1 million from the government’s Advanced Propulsion Centre, runs on bio-methane fuel made from cow dung. The Low Carbon T6 Methane Power Tractor has been trial tested and used to harvest vegetables on a Cornish farm It was made in Basildon, Essex, by tractor manufacturers New ...

This story continues at Dung-powered tractor

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/34agNvf
via Yuichun

Raspberry Pi adds Trilobot

Raspberry Pi has come up with the Trilobot  robot kit. Trilobot’s chassis is made of layers of resilient FR-4 PCB.  The motor driver hardware is built right into the chassis itself so you don’t need a separate HAT to handle the motors. It has a blingy gold bumper and a stylish reversible top plate. Bright ...

This story continues at Raspberry Pi adds Trilobot

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3n1vnf9
via Yuichun

India moves to establish domestic chip industry

From January 1st, India is taking applications from chip companies to set up fabs in India. The Indian government has allocated $10 billion in support for inwardly investing chip manufacturers. India expects at least a dozen semiconductor manufacturers to start building fabs in the next 2-3 years. The minister responsible for the investments, Ashwini Vaishnaw, ...

This story continues at India moves to establish domestic chip industry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3FBlawY
via Yuichun

First wafers for Weebit Nano

Weebit Nano has received from manufacturing the first silicon wafers that integrate its embedded ReRAM module inside complete subsystem demonstration (demo) chips. The chips will be used for testing and characterisation, as well as for demonstration to potential customers. The demo ICs will allow customers to run applications to test Weebit’s ReRAM technology ahead of ...

This story continues at First wafers for Weebit Nano

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/345n5fw
via Yuichun

2021年12月28日 星期二

TE acquires NSR relay technology

TE Connectivity (TE) has acquired force-guided narrow safety relay (NSR) elementary relay technology from the Phoenix Contact Group. The acquisition adds a single–pole, force–guided offering to TE’s relay portfolio for the factory automation, elevator and rail markets. As a part of the agreement, TE will assume responsibility for manufacturing and marketing of the NSR element. ...

This story continues at TE acquires NSR relay technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3eCBQYY
via Yuichun

OneWeb launches 36 satellites

Yesterday, OneWeb, the satellite constellation backed by the UK government and Bharti Enterprises, launched 36 satellites from the Baikonur cosmodrome in Kazakhstan. The launch takes the number of satellites in the OneWeb constellation from 358 to 394 representing over 60% of the planned 648 satellite constellation. The constellation aims to deliver global internet access. The ...

This story continues at OneWeb launches 36 satellites

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3JA0yrB
via Yuichun

UV wall protects against Covid

A research team from the Technical University of Munich and the Division of Infectious Diseases and Tropical Medicine at the LMU University Hospital Munich, in cooperation with the start-up Smart United GmbH, has developed an invisible protective “wall” made of UV-C light. In their study, published as a preprint on medRxiv, the researchers were able ...

This story continues at UV wall protects against Covid

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qNfuKn
via Yuichun

Direct Insights develops BSP

Direct Insight, the Oxfordshire embedded specialist, has developed a Board Support Package (BSP) which enables the use of QNX 7 with the Boundary Devices’ Nitrogen 8M board, an ARM-based SBC designed to leverage the  capabilities of NXP’s i.MX8M Mini Quad processor. Designed for mass production with a guaranteed 10 year lifespan, FCC pre-scan results, and ...

This story continues at Direct Insights develops BSP

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3JqUnWr
via Yuichun

2021年12月27日 星期一

Leti proposes FD-SOI spin qubit platform for quantum computing

A paper from CEA-Leti called  “A new FD-SOI spin qubit platform with 40nm effective control pitch”, notes that operating Si quantum dot (QD) arrays requires homogeneous and ultra-dense structures with aggressive gate pitch. Such a density is necessary to separately control the quantum dots’ chemical potential, i.e. the charge occupation of each dot, from the ...

This story continues at Leti proposes FD-SOI spin qubit platform for quantum computing

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EFpfza
via Yuichun

Bug hits CES

Omicron is hitting CES with a number of tech companies pulling out of having a physical presence at the show which runs January 5-8 in Las Vegas.. Microsoft, Google/Alphabet and its self-driving unit Waymo, Facebook/Meta, T-Mobile, Pinterest, Twitter, Lenovo, General Motors, Intel, At&T and Amazon have all said they will not have a physical presence ...

This story continues at Bug hits CES

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EIvp1v
via Yuichun

RFFE market to have 10% CAGR 2021-6

The global RF Front End (RFFE) market should reach $4.3 billion in 2026 with a 10% CAGR2021-2026, according to Yole Developpement RFFE devices for smartphone, tablet, and laptop connectivity are responsible for over $2 billion market valuation in 2021. PA will drive more volume and value from the connectivity market as an effect of 2×2 ...

This story continues at RFFE market to have 10% CAGR 2021-6

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3z1jkTI
via Yuichun

How to build a quantum computer

Building a large-scale physical quantum computer is challenging. When scaling up qubits, wiring diagrams get increasingly complicated. Bogdan Govoreanu, quantum computing program manager at Imec, has shown  a smart way of interconnecting neighboring silicon qubits in a 2D bilinear array. This architecture tackles the qubit connectivity problem and is a potential pathway for realising a ...

This story continues at How to build a quantum computer

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mB48Yq
via Yuichun

2021年12月26日 星期日

ADI multi-phase boost controller

ADI has introduced a multi-phase synchronous boost controller that regulates high-power Class-D amplifiers in automotive infotainment systems. The MAX25203 features both programmable gate drive voltage and current limit blanking time, as well as accurate current balancing, and operates at a high switching frequency to reduce the bill of materials cost and shrink PCB space by ...

This story continues at ADI multi-phase boost controller

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qmmDkq
via Yuichun

2021年12月24日 星期五

Merry Christmas! But the Web never sleeps…

A quick post to wish all our readers a Merry Christmas and a Happy New Year! Note, however, that ElectronicsWeekly.com is not taking a break.

This story continues at Merry Christmas! But the Web never sleeps…

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qqmjRN
via Yuichun

Japan needs to spend $7bn a year on chips, says Higashi

Japan must aim for 2nm mass production within a decade, says Tetsuro (Terry) Higashi (pictured) former CEO of Tokyo Electron who sits on a government chip industry advisory panel. Japan should offer tax breaks in the next fiscal year to produce $88 billion in investment over the next decade to revive domestic chipmaking. $6.8 billion ...

This story continues at Japan needs to spend $7bn a year on chips, says Higashi

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Ep4ibA
via Yuichun

SEMI November billings up 50.6% y-o-y

SEMI’s November billings figure was 5% up on October’s $3.74 billion at $3.93 billion and 50.6% up on November 2020’s billings of $2.61 billion. “Billings of North America-based semiconductor equipment manufacturers extended a robust uptrend to reach another new record in November,” says SEMI CEO Ajit Manocha,“industry performance remains unprecedented despite persistent supply chain challenges.” ...

This story continues at SEMI November billings up 50.6% y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yXJeI8
via Yuichun

ams OSRAM adds to dToF portfolio

ams OSRAM is expanding its portfolio of dToF modules with three new devices for multi-zone and multi-object detection with a wider field-of-view (FoV) and extended range. The multi-zone dToF modules TMF8820, TMF8821 and TMF8828 divide their detection area into multiple zones to collect more and higher quality information. As a result, automated robots gain more ...

This story continues at ams OSRAM adds to dToF portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3eqEnFy
via Yuichun

2021年12月23日 星期四

Lattice upgrades solution stack

Lattice has launched the latest version of its Lattice Automate solution stack for industrial automation systems featuring new real-time networking capabilities, AI-based predictive maintenance, increased processor performance and scalability, and more flexible configurations. Lattice has also announced the release of an updated version of the Lattice Propel embedded design environment to simplify the development of industrial ...

This story continues at Lattice upgrades solution stack

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3swYXN2
via Yuichun

Samsung SSD incorporates PCIe 5.0

Samsung is sampling an SSD for enterprise servers, integrating the PCIe  5.0 interface with Samsung’s  sixth-generation V-NAND. Mass production is planned for Q1 2022. PCIe 5.0 offers a bandwidth of 32 gigatransfers per second (GT/s), doubling that of PCIe 4.0. The SSD will feature a sequential read speed of up to 13,000 megabytes per second ...

This story continues at Samsung SSD incorporates PCIe 5.0

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ssp7jS
via Yuichun

Low power system for bionic eyes

  A low-power system for use in bionic eyes, has been jointly developed by academics from the Harbin Institute of Technology in China and Northumbria University. Working in partnership with a research group led by Professor PingAn Hu from the Harbin Institute, Northumbria’s Professor Richard Fu described their newly developed method for controlling the artificial ...

This story continues at Low power system for bionic eyes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qnoSUq
via Yuichun

HMI technology combines touch with 3D injection moulding.

Kyocera has unveiled its HAPTIVITY iHMI technology —a hybrid innovation combining touch technology with 3D injection-moulded structural electronics technology (IMSET) from TactoTek Oy. The result is claimed to allow the creation of  HMI solutions in a range of 3D shapes, with thinner form factors, fewer components, improved vibration resistance, and reduced weight. Because  they are ...

This story continues at HMI technology combines touch with 3D injection moulding.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3plQHxn
via Yuichun

Hitachi launches e-beam inspection system for EUV 3nm and 5nm processes

Hitachi High-Tech Corporation today announced the Development of its Electron Beam Area Inspection System. The device manufacturers are beginning to utilise EUV  in the mass production of 5nm node devices and the development of 3nm node devices. As circuit pattern dimensions manufactured using EUV lithography are approximately half of the size of those produced by ...

This story continues at Hitachi launches e-beam inspection system for EUV 3nm and 5nm processes

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3H44sH1
via Yuichun

Compact AC/DC converter ICs

ROHM has developed AC/DC fly-back converter ICs with an integrated 730V breakdown MOSFET: the BM2P06xMF-Z series (BM2P060MF-Z, BM2P061MF-Z, and BM2P063MF-Z). The devices are suitable for auxiliary power supply and Switch Mode Power Supply (SMPS) products for industrial drives as well as home appliances – including air conditioners, white goods, and factory automation equipment. These fly-back ICs ...

This story continues at Compact AC/DC converter ICs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mrR080
via Yuichun

2021年12月22日 星期三

TrekApp tool tests stress in SoC and processor designs

Verification and stimulus specialist, Breker Verification Systems, has taken its Cache Coherency TrekApp as the basis for the System Coherency Synthesis TrekApp, which it introduced at DAC58 in San Francisco. The tool uses abstract models of common and novel algorithms to automatically generate high coverage coherency tests for complex, multi-agent system platforms based on coverage directives. ...

This story continues at TrekApp tool tests stress in SoC and processor designs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32ouAgD
via Yuichun

SiPho process has integrated III-V lasers

Tower Semiconductor and Juniper Networks have developed a silicon photonics (SiPho) foundry-ready process with integrated III-V lasers, amplifiers modulators and detectors. This integrated laser process addresses optical connectivity in datacenters and telecom networks, as well as new emerging applications in artificial intelligence (AI), LiDAR and other sensors. The new platform co-integrates III-V lasers, semiconductor optical amplifiers (SOA), electro-absorption modulators ...

This story continues at SiPho process has integrated III-V lasers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qewLMc
via Yuichun

PlugFests demo NEC’s MIMO O-RAN

NEC says it has successfully demonstrated its O-RAN massive MIMO capabilities for the O-RAN ALLIANCE Global PlugFest 2021 at five of its venues, hosted by leading operators and the Telecom Infra Project (TIP). This year, NEC contributed as the first commercial provider of massive MIMO open Radio Units, resulting in NEC’s O-RU being integrated with ...

This story continues at PlugFests demo NEC’s MIMO O-RAN

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pj34Kp
via Yuichun

RFID tag the size of a grain of rice embeds in tyres

Murata and Michelin are in volume of RFID modules  that embed into tyres. The passive RFID tags require no external power supply. The tag delivers low cost and easy tyre traceability throughout its lifespan, enabling the management of inventory logistics, aftermarket maintenance and recycling operations. “This new generation of RFID tags is already in more ...

This story continues at RFID tag the size of a grain of rice embeds in tyres

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32nB9jx
via Yuichun

Eutelsat appoints a Danish CEO – Eva Berneke

Eutelsat, the Paris-based satellite operator, has appointed a new CEO with effect from the New Year – Eva Berneke. She joins Eutelsat from being the CEO of KMD, a Danish IT and software company that is now part of the NEC Group. She is credited by Eutelsat with the “transformation of KMD from a mainly ...

This story continues at Eutelsat appoints a Danish CEO – Eva Berneke

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Ej5Y6j
via Yuichun

2021年12月21日 星期二

130W quad output power supply in 3”x5” format

 SL Power Electronics, a global leader in designing and manufacturing AC/DC power conversion solutions, announces the availability of the GB130Q family of highly efficient, 130W quad output power supplies in a 3″ X 5″ Open frame form factor. Developed specifically for next-generation applications the screw-less design with no topside heatsink allows for a more automated ...

This story continues at 130W quad output power supply in 3”x5” format

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3qkgdCe
via Yuichun

Space Forge raises £7.7m

Space Forge of Cardiff,  the returnable satellite startup, has raised £7.7 million in a funding round which was 4x oversubscribed. Lead investors were Type One Ventures and SpaceFund of the US and World Fund of Berlin. The company is developing a small satellite called ForgeStar that can be deployed from conventional launchers to provide rapid ...

This story continues at Space Forge raises £7.7m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yLnizC
via Yuichun

TSMC introduces N4X process for HPC

TSMC has introduced its N4X technology  – a 5nm process for HPC chips. N4X is the first of TSMC’s HPC-focused technology offerings, representing ultimate performance and maximum clock frequencies in the 5nm family. “X” denotes TSMC technologies that are developed specifically for HPC products. N4X features include: Device design and structures optimized for high drive ...

This story continues at TSMC introduces N4X process for HPC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sojPWs
via Yuichun

AFRL selects academic winners for Space University Research Initiative

The US Air Force Research Laboratory (AFRL) has announced the winners of its Space University Research Initiative (SURI) funding programme. Newly-established, SURI is intended to be a first step in improving “the transition of critical concepts from academia into revolutionary new military technologies” for the U.S. Air Force and U.S. Space Force. In March 2021, ...

This story continues at AFRL selects academic winners for Space University Research Initiative

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3FimQLQ
via Yuichun

ST adds to GaN power portfolio

ST has launched a family of GaN power semiconductors in the STPOWER portfolio. The first device in ST’s new G-HEMT transistor family is the 650V SGT120R65AL with 120mΩ maximum on-resistance (RDS(on)), 15A maximum current capability, and a Kelvin source connection for optimum gate driving. It is available now in an industry-standard PowerFLAT 5×6 HV compact surface-mount package, ...

This story continues at ST adds to GaN power portfolio

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3J3bHAV
via Yuichun

Inductive sensing IC immune to stray magnetic fields

Melexis, the Belgian auto IC specialist, has developed a new inductive sensing IC intrinsically immune to stray magnetic fields. The MLX90510 inductive interface IC enables high-speed resolver minimizing the ECU effort required to get best-in-class accuracy under extreme mechanical and electrical conditions. With its superior EMC capabilities, the MLX90510 is perfect for e-motor control, e-brake ...

This story continues at Inductive sensing IC immune to stray magnetic fields

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3J7FiZS
via Yuichun

EiceDRIVER family to enhance GaN SG HEMTs

Infineon is shipping the EiceDRIVER 1EDN71x6G HS 200V single-channel gate driver ICs family. The  family is designed to enhance the performance of CoolGaN Schottky Gate (SG) HEMTs but is also compatible with other GaN HEMTs and Silicon MOSFETs. 1EDN71x6G variants come with selectable pull-up and pull-down driving strengths, enabling waveform and switching speed optimization without ...

This story continues at EiceDRIVER family to enhance GaN SG HEMTs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3J9Aovc
via Yuichun

2021年12月20日 星期一

Ageing experiment goes into space

Tomorrow, a government-backed experiment into ageing is set to launch to the International Space Station. Scientists at the University of Liverpool, funded by the UK Space Agency, are using space to understand what happens to human muscles as we age, and why. When astronauts spend time in space, without the effects of gravity, their muscles ...

This story continues at Ageing experiment goes into space

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3FdWbjl
via Yuichun

High energy density capacitors are up to 90% lighter than ceramics

Miniature micro-layer (MML) film capacitors offer energy density of 400j/dm3 for weight and size reductions compared to polypropylene or polyester dielectrics. The capacitors developed by Exxelia are available from New Yorker Electronics. They also have an increased operating temperature up to 140°C and transient voltage protection. Capacitance ranges from 1μF – 1000μF and voltages from ...

This story continues at High energy density capacitors are up to 90% lighter than ceramics

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3GYqStb
via Yuichun

eGaN chipset shrinks size for high power density design

The ePower chipset family of 100V, 65A IC chipsets integrate a 100V EPC23101 eGaN driver and EPC2302 eGaN FET. Developed by Efficient Power Conversion (EPC), they reduce the solution size for high power density applications, including 48V DC-DC conversion in computing and brushless DC (BLDC) motor drives for e-mobility, robotics and drones. The ePower chipset is capable of a ...

This story continues at eGaN chipset shrinks size for high power density design

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33OoK9f
via Yuichun

2021 tech VC funding doubles y-o-y

In 2021 UK tech companies raised more than twice the capital they raised in 2020, says Dealroom. £29.4 billion was raised by UK tech firms this year compared to £11.5 billion raised in 2020. German tech companies raised £13.5 billion and French tech companies raised £8.6 billion.  Almost £9 billion of all the VC miney ...

This story continues at 2021 tech VC funding doubles y-o-y

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yHzhhH
via Yuichun

Top ten fabless grow 45% y-o-y in Q3

Q3 revenues of the top ten fabless companies grew 45% y-o-y to reach $33.7 billion, says TrendForce. Qualcomm has been buoyed by continuing robust demand for 5G mobile phones form major mobile phone manufacturers with further revenue growth from its processor and radio frequency front end (RFFE) departments. Qualcomm’s IoT department benefited from strong demand ...

This story continues at Top ten fabless grow 45% y-o-y in Q3

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32l8Fqt
via Yuichun

Satellite Vu turns to SSTL to become the thermometer of the world

The UK’s Satellite Vu has chosen Surrey Satellite Technology (SSTL) to build its first satellite to provide high-resolution thermal imagery, which will enable carbon emission monitoring. As a mid Wave Infra-Red (MWIR) thermal imaging satellite, it will be the first of a planned constellation of seven and it is due to be launched into low ...

This story continues at Satellite Vu turns to SSTL to become the thermometer of the world

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Ee5G0D
via Yuichun

LG produces portable, chargeable, wireless TV

LG has come up with a wireless, portable, battery-powered TV with a jolly video to promote it. Here is is: Users can control the TV via ‘intuitive controls’, including remote, touch and gesture recognition. ‘The user interface designed specifically for this product is unlike any TV UI and is tailored to the viewer’s personal viewing ...

This story continues at LG produces portable, chargeable, wireless TV

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32aXAJ2
via Yuichun

Renesas shipping low jitter 400/800Gps timing devices

Renesas is shipping multi-channel timing devices for 400/800Gbps optical transport and wireline network applications with phase jitter as low as 88fs-rms. The devices provide all the functionality needed to implement an IEEE1588 clock solution with jitter attenuation capabilities resulting in ultra-low jitter clock outputs for Synchronous Ethernet PHYs with data rates up to 112Gbps PAM-4, reducing design ...

This story continues at Renesas shipping low jitter 400/800Gps timing devices

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yKB6KY
via Yuichun

2021年12月19日 星期日

Scalable LXI module provides flexibility up to 300×4 connections

Pickering Interfaces of Clacton-on-Sea has  launched the 65-218 50×4, 1-pole LXI matrix plug-in module.   Used in conjunction with the 65-200-002 LXI modular chassis, these modules are part of a scalable, high voltage matrix platform that enables the construction of matrices of up to 300×4 in steps of 50×4. Available in two variants that are ...

This story continues at Scalable LXI module provides flexibility up to 300×4 connections

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3mmgN1n
via Yuichun

2021年12月17日 星期五

Mouser adds Siretta’s IoT portfolio with worldwide deal

IoT mobile broadband technologies from Siretta are now available from Mouser Electronics, following a worldwide distribution deal. The range products, software and end-to-end solutions for the IoT, industrial and transportation applications include Snyper network signal analysers, high speed Quartz industrial routers (pictured) and Zeta modem starter kits. Siretta is a UK manufacturer and developer specialising ...

This story continues at Mouser adds Siretta’s IoT portfolio with worldwide deal

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/32cJDd4
via Yuichun

Europrocessor gets another €17.5m

SiPearl, the company designing the Europrocessor, has been awarded a €2.5 million grant and up to €15 million in equity investments from the European Commission EIC Accelerator program. In February 2020, SiPearl was awarded €6.2 million under the Horizon 2020 programme. The EIC Accelerator program is a process to select and support start-ups and small ...

This story continues at Europrocessor gets another €17.5m

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3e1q9uI
via Yuichun

Strong bounce-back for electronics production

The change in  electronics production versus a year ago for key Asian countries is shown below. The trends in 2021 show a strong bounce-back, says Semiconductor Intelligence. The key trends by country are: South Korea – electronics production was not significantly impacted by COVID, with March 2020 three-month-average production up 25% from a year earlier. ...

This story continues at Strong bounce-back for electronics production

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3p1yLYv
via Yuichun

Farnell offering T&M discounts

Farnell has launched an end-of-year promotion to offer exclusive discounts on test and measurement equipment from leading global suppliers. They include:  Tektronix and Keithley Instruments, Keysight Technologies, Rohde & Schwarz, Fluke, NI and many more. These special offers are available from Farnell until 31st December. Customers can take advantage of up to 55% off featured ...

This story continues at Farnell offering T&M discounts

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ITrrWW
via Yuichun

NXP hooks up with Hailo

 Hailo, the Israeli AI processor specialist, has joined up with NXP to develop ECUs. The products will combine NXP’s automotive processors, S32G and Layerscape, with the Hailo-8 AI processor. The Hailo-8 outperforms other available AI processors for edge computing with up to 26 tera-operations per second (TOPS) at a typical power consumption of 2.5 W. These scalable ...

This story continues at NXP hooks up with Hailo

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DZ1n93
via Yuichun

2021年12月16日 星期四

100V, 65A chipset for 48V DC-DC conversion

EPC has introduced a 100 V, 65 A chipset designed for 48 V DC-DCconversion used in high-density computing applications and in 48 V BLDC motor drives for e-mobility, robotics, and drones. The EPC23101 eGaN IC plus EPC2302 eGaN FET offers a new ePower Chipset capable of a maximum withstand voltage of 100 V, delivering up to ...

This story continues at 100V, 65A chipset for 48V DC-DC conversion

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3F4x8PF
via Yuichun

Rocket Lab to buy space solar power specialist SolAero

Rocket Lab USA is to acquire SolAero Holdings, the company that has helped power NASA’s Parker Solar Probe and developed the solar panels for the Ingenuity helicopter flown on Mars. Specifically, it is a supplier of space solar power products – both solar cells and solar panels – and precision aerospace structures. Rocket Lab – ...

This story continues at Rocket Lab to buy space solar power specialist SolAero

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3E51OPp
via Yuichun

€500m for European truck charging point installation

Volvo, Daimler Truck, and the TRATON GROUP are forming a jv install and operate a high-performance public charging network for battery electric, heavy-duty long-haul trucks and coaches across Europe. The planned JV — to be equally owned by the three parties — is scheduled to start operations in 2022. The parties are together committing to ...

This story continues at €500m for European truck charging point installation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3F1eDeR
via Yuichun

Q1 DRAM ASP to take a hit

Demand for DRAM will drop in 1Q22 and the sufficiency ratio will  be over 3% leading to the ASP falling 8-13% QoQ, expects TrendForce. DDR4 and DDR5 PC DRAM will experience QoQ declines of 5-10% and 3-8%, respectively. Server DRAM prices will decrease by about 8-13% QoQ due to a slowdown in procurement activities. Mobile ...

This story continues at Q1 DRAM ASP to take a hit

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ywVWNz
via Yuichun

NXP extends S32G vehicle network processor series

NXP has extended its S32G Vehicle Network Processors family with the introduction of the S32G3 Series with four initial devices. Software and pin- compatible with the  S32G series  these new devices offer up to 2.5x more applications processing performance, on-chip system memory and networking than the current highest-performance S32G2 Series device to enable more ECU ...

This story continues at NXP extends S32G vehicle network processor series

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ITWrGs
via Yuichun

Renesas reduces write energy and voltage application time for STT-MRAM

At IEDM, Renesas described the development of two technologies that reduce the energy and voltage application time for the write operation of STT-MRAM. On a 20Mb test chip with embedded MRAM memory cell array in a 16 nm FinFET logic process, a 72% reduction in write energy and a 50% reduction in the voltage application ...

This story continues at Renesas reduces write energy and voltage application time for STT-MRAM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yJwsNj
via Yuichun

2021年12月15日 星期三

Australia, South Korea sign MoU for peaceful space cooperation

Australia and South Korea have signed a memorandum of understanding (MoU) “to strengthen space cooperation” between the two countries. A meeting between Australia’s Prime Minister Scott Morrison and South Korea’s President Moon Jae-In took place earlier this week in Canberra, following on from discussions at the Carbis Bay G7 summit in the UK. The agreements ...

This story continues at Australia, South Korea sign MoU for peaceful space cooperation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3sawz2U
via Yuichun

Amphenol expands SMA panel mount series

Amphenol RF has expanded its SMA panel mount product series with additional front mount bulkhead jacks. These jacks are designed to offer vibration resistance as well as tamper-resistant capabilities that are often beneficial for uses within an enclosure in a public space. They are suitable for applications with limited space such as drones, test and ...

This story continues at Amphenol expands SMA panel mount series

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33sNVOd
via Yuichun

2021 semi capex to soar 34% to $152bn, says IC Insights

Semi capex is  on pace for 34% growth in 2021 to reach $152 billion this year, says IC Insights, Foundries are forecast to account for over one-third of semi capex spending this year. New factories and equipment for 7/5/3nm processes highlight growing the industry’s dependence on the foundry business model. The 34% expected surge in ...

This story continues at 2021 semi capex to soar 34% to $152bn, says IC Insights

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EWznnS
via Yuichun

Imec integrates Schottky diodes and p-GaN HEMTs

Imec showed this week’s IEDM meeting its co-integration of high-performance Schottky barrier diodes and depletion-mode HEMTs on a p-GaN HEMT-based 200 V GaN-on-SOI smart power IC on 200 mm substrates. The addition of these components enables the design of chips with extended functionality and increases performance that takes monolithically-integrated GaN power ICs one step further. ...

This story continues at Imec integrates Schottky diodes and p-GaN HEMTs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dYTtBX
via Yuichun

Alps Alpine claims new DC-DC converter technology

Alps Alpine has developed a new high-performance isolated DC-DC converter circuit technology, Isolated TriMagiC Converter. The technology uses Li-qualloy – an original magnetic material developed by Alps Alpine – instead of ferrite for magnetic components in trans-formers and resonant coils. Use of the material here in a circuit that operates in On/On & On/Off mode simultaneously enables ...

This story continues at Alps Alpine claims new DC-DC converter technology

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3GFhNVO
via Yuichun

Rohm-Wako to build plant in Malaysia

Rohm-Wako is to build a new production facility at its manufacturing subsidiary in Malaysia to increase production capacity of analogue LSIs and transistors due to growing demands. The  new building will increase the overall production capacity of  Rohm-Wako by approximately 1.5 times. Construction of the new facility, which is expected to have 3-story and a ...

This story continues at Rohm-Wako to build plant in Malaysia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EYjugO
via Yuichun

New Symphonie to study European multi-orbit satellite system

New Symphonie, a consortium of 22 European companies, has won the European Commission call for tenders called “New Space solutions for long-term availability of reliable, secure, cost-effective space-based connectivity”. It will be awarded a six month study contract for a total amount of 1.4m euros. Specifically, New Symphonie will investigate and recommend to the European ...

This story continues at New Symphonie to study European multi-orbit satellite system

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EZYXs7
via Yuichun

2021年12月14日 星期二

CMA report highlights concern over Apple and Google’s grip on the mobile industry

The UK Competition and Markets Authority (CMA) has come up with an interim report in its investigation of concerns that Apple and Google have too much control over operating systems (iOS and Android), app stores (App Store and Play Store), and web browsers (Safari and Chrome) that together form their ‘ecosystems’. Apple and Google are ...

This story continues at CMA report highlights concern over Apple and Google’s grip on the mobile industry

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3m35xqw
via Yuichun

IBM beats finFETs with vertical CMOS at IEDM

IBM revealed vertical FET CMOS logic at a sub-45nm gate pitch on bulk silicon wafers at the IEEE International electron devices meeting in San Francisco this week. IBM’s VTFET with a vertical channel (yellow) and gate-all-around (blue). Contacts are brown and the white line shows current flow. It calls them VTFETs, for vertical transport FETs, and ...

This story continues at IBM beats finFETs with vertical CMOS at IEDM

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DRHhOc
via Yuichun

Intel harnesses R&D to realise it’s process ambitions

Intel has shown IEDM some of its research routes aimed at propelling the company to industry leadership in manufacturing technology by 2025. Intel has put a lot of money and effort into packaging. In the last seven months it has announced investments of $10 billion in its Rio Rancho and Penang packaging plants. At IEDM ...

This story continues at Intel harnesses R&D to realise it’s process ambitions

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33irjzQ
via Yuichun

OneWeb to start manufacturing satellites in UK

OneWeb is to start work on a UK satellite manufacturing site next year, according to Chris McLaughlin, head of government affairs at OneWeb, in testimony to the House of Commons science and technology committee. The plan is to start building satellites in the UK by 2024-5 either with OneWeb’s current manufacturing partner, Airbus, or with ...

This story continues at OneWeb to start manufacturing satellites in UK

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EUFpp8
via Yuichun

OCXO offers frequencies up to 500MHz

RFX  of Livingston has launched a high stability Oven Controlled Crystal Oscillator (OCXO) which offers frequencies up to 500MHz whilst still delivering low harmonics, extremely low ageing and excellent Allan Deviation performance. Designed around an in-house manufactured precision SC cut crystal, frequency stability is ±5ppb over a wide -40 to 65 Deg. C operating temperature ...

This story continues at OCXO offers frequencies up to 500MHz

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DUvr5U
via Yuichun

TSMC revenues up 18.7%

TSMC had November revenues of  $5.3 billion, an increase of 10.2% from October 2021 and an increase of 18.7% from November 2020. Revenue for January through November 2021 totalled $51.5 billion, an increase of 17.2%  compared to the same period in 2020. TSMC November Revenue Report (Consolidated): (Unit: NT$ million) Period Net Revenues November 2021 ...

This story continues at TSMC revenues up 18.7%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ymRrFc
via Yuichun

2021年12月13日 星期一

SSTL to lead UK Space Agency LEOPARD project for removing debris

Surrey Satellite Technology Ltd (SSTL) has been selected to lead a UK Space Agency study, dubbed LEOPARD, to define the mission requirements to de-orbit two “non-operational space debris” targets. The Guildford-based company specialises in the manufacture and in-orbit operation of small satellites, and it highlighted its experience in two previous Active Debris Removal (ADR) demonstration ...

This story continues at SSTL to lead UK Space Agency LEOPARD project for removing debris

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rZY4fD
via Yuichun

Intel to put $7bn packaging investment into Malaysia

On Wednesday Intel will announce a decision to spend $7 billion expanding its packaging facilities in Penang, Malaysia, according to an invitation sent out by the company. In May, Intel announced a $3.5 billion investment into its Rio Rancho packaging facility in Albuquerque, New Mexico. Intel has emphasised that advances in a new generation of ...

This story continues at Intel to put $7bn packaging investment into Malaysia

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pPQ8La
via Yuichun

TSMC in talks with Germany about building a fab

TSMC is talking to the German government about putting a fab in the country, according to Lora Ho, TSMC svp. Subsidies on offer will be a major factor in the decision. Another factor will be demand. A potential customer, BMW, last week signed a supply deal with Globalfoundries which has a fab in Dresden. Ho ...

This story continues at TSMC in talks with Germany about building a fab

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33fhaE4
via Yuichun

UV lighting market has 17.8% CAGR

The UV lighting market is expected to reach $3.5 billion in 2026, with a 17.8% CAGR 2021-2026, forecasts Yole Developpement, while the UV LED market is expected to grow to $2,466 million in 2026. Several new UVC lighting applications, products, and systems have been developed: each having potential preferences for UV lamps or UV LEDs. The ...

This story continues at UV lighting market has 17.8% CAGR

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3GJzBiV
via Yuichun

2021年12月12日 星期日

Orbex begins construction of satellite Launch Platform in North Scotland

Orbex has announced it has started construction of a Launch Platform in Scotland near Kinloss, the first orbital space launchpad to be built in the UK for more than half a century. Although Orbex will eventually operate its launches from Space Hub Sutherland, on the A’ Mhòine peninsula, the test launch platform at Kinloss is ...

This story continues at Orbex begins construction of satellite Launch Platform in North Scotland

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3IFGWln
via Yuichun

Re-usable ASIC blocks allow mid-design switch

Sondrel’s Architecting the future IP platforms use a Scalable Architecture Framework (SAF) that which uses re-usable, modular IP blocks that each have a wrapper containing a standardised set of functions and interfaces. Each Architecting the future IP platform has been created by assembling the required blocks to meet the performance and functionality required for a ...

This story continues at Re-usable ASIC blocks allow mid-design switch

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DT37AG
via Yuichun

2021年12月10日 星期五

Gait singles you out pretty well, according to your phone

Smartphones can be 85% accurate in identifying who is carrying them, merely by detecting motion as the person walks around normally, according to the University of Plymouth. This rises to almost 90% when they walk fast. The trial had 44 participants, aged between 18 and 56, each carring a phone in a belt pouch for 7 ...

This story continues at Gait singles you out pretty well, according to your phone

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lTVEuZ
via Yuichun

A new look at the figures around Moore’s law

Joe Sawicki , executive vice president, IC EDA at Siemens, challenged the mutterings that Moore’s law has run its course, and said the semiconductor industry was blighted with pessimism. At this year’s DAC (Design Automation Conference) he set about looking at the figures in a new light. “There have been morose expectations and miserable prognostics, for ...

This story continues at A new look at the figures around Moore’s law

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rQ6dmM
via Yuichun

SiGe analogue FIR filter for microwave and mmW

Georgia Tech has developed a general-purpose MMIC (monolithic microwave IC) for the direct filtering and processing of microwave and millimeter-wave signals. The aim is to reduce radio power consumption by swapping some digital signal processing elements for reconfigurable analogue processing blocks. “High-speed digitisation blocks targeting microwave frequencies are expensive and consume a lot of power,” ...

This story continues at SiGe analogue FIR filter for microwave and mmW

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dGpKxL
via Yuichun

Harwin expands Sycamore Contact range

Expanding its portfolio of board sockets, Harwin has introduced additional sizes for the Sycamore Contact range. These 6A current rated surface-mount PCB sockets allow engineers to accommodate different designs, placing individual sockets freely on a board without the constraint of connector housings. Two additions complement the existing 3.87mm length sockets, which accept 0.8-1.3mm or 1.5-1.9mm ...

This story continues at Harwin expands Sycamore Contact range

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DIVjBE
via Yuichun

hiSky satellite IoT networkers raise $30m Series A

hiSky, a developer and provider of satellite-based Internet of Things (IoT) networking, has completed a $30 million Series A funding round. It was led by ST Engineering’s Corporate Venture Capital unit and SDF (Strategic Development Fund), which is the investment arm of United Arab Emirates-based Tawazun Holding. Current hiSky shareholders also took part. The Israeli ...

This story continues at hiSky satellite IoT networkers raise $30m Series A

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oIWfBu
via Yuichun

SoC for RU designers

ADI has brought out the first IC  in its RadioVerse series. The ADRV9040 offers eight transmit and receive channels of 400MHz bandwidth and integrates advanced DSP functions, including carrier digital up-converters (CDUC), carrier digital down-converters (CDDC), crest factor reduction (CFR) and digital pre-distortion (DPD). These capabilities can eliminate the need for an FPGA, thereby reducing ...

This story continues at SoC for RU designers

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33j6wML
via Yuichun

2021年12月9日 星期四

Murata upgrades power inductors

Murata’s new power inductors claim to have  a 20% increase in saturation current (ISAT) and a 50% reduction in DC resistance (RDC) over their predecessors, while  L-shaped electrodes  allow these components to reach  high density levels The DFE21CCNR24MEL has a 0.24 µH inductance value, with an RDC of 20mOhm (Max) and an ISAT of 6.5A ...

This story continues at Murata upgrades power inductors

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ICXoTd
via Yuichun

Supercapacitor electrodes made of organic material

Sweden’s Digital Cellulose Center is storing electricity on ‘electronic paper’ completely made of organic material. The ingredients are cellulose, conductive polymers and bio-based charcoal from coconuts, and the result is durable, electrically conductive and has a large surface area structure that can bind energy-storing materials. “Our goal is to use the paper material to build ...

This story continues at Supercapacitor electrodes made of organic material

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/31Oqxd5
via Yuichun

UK made: Register memory IP cuts SoC power for wearables

Sheffield memory design house SureCore is offering intellectual property to cut register file power consumption. Branded MiniMiser, its architecture is based on a customised storage element – rather than on the foundry bit cell – and exploits the company’s SRAM power saving techniques. Multi-port and high-performance variants can be generated. “MiniMiser gives developers a new way ...

This story continues at UK made: Register memory IP cuts SoC power for wearables

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ydt2SG
via Yuichun

SiFive claims ‘fastest licensable RISC-V processor IP’ for its 11+SPECInt2006/GHz core

SiFive has created what “is expected to be the fastest licensable RISC-V processor IP core in the market”, when it emerges in 2022. Building on the earlier Performance P550 processor, company engineering estimates are that it will have 40% more performance per clock cycle  – to 11+SPECInt2006/GHz – and it has architecture enhancements improve maximum ...

This story continues at SiFive claims ‘fastest licensable RISC-V processor IP’ for its 11+SPECInt2006/GHz core

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3IBEgVw
via Yuichun

Design rules for functional safety are explored at DAC

The role of EDA tools to automate manipulating, storing and exchanging data for functional safety systems was in the spotlight at DAC, as engineers wrestle with ways to improve interoperability, traceability and automation. The Accellera Functional Safety Working Group was created in December in 2019 and is dedicated to standardise across system, module, component and ...

This story continues at Design rules for functional safety are explored at DAC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3Dz7oZT
via Yuichun

BMW signs supply deal with GloFo

BMW has signed a direct supply agreement with INOVA Semiconductors and GlobalFoundries. The agreement guarantees the BMW Group the supply of several million ICs a year. The deal follows a similar agreement struck last month between GloFo and Ford. BMW’s chips  will be used in the ISELED smart LED technology co-developed by BMW, which will be ...

This story continues at BMW signs supply deal with GloFo

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/33iF8P3
via Yuichun

New Space gives way to Fast Space, Euroconsult reports

Euroconsult, a consultancy specialising in the space sector, has released its 2021 analysis and forecast of the satellite market, in terms of manufacturing and launch services. The report, entitled Satellites to be Built & Launched – 2021 Edition, includes headline points such as – on average – 1,700 satellites to be launched every year for ...

This story continues at New Space gives way to Fast Space, Euroconsult reports

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ycVHXN
via Yuichun

TI claims smallest wideband 24-bit ADC

TI claims to have the smallest 24-bit wideband ADC that delivers leading-signal-measurement precision at wider bandwidths than competing ADCs. The ADS127L11, the newest product in TI’s portfolio of precision wideband ADCs, achieves ultra-precise data acquisition in a 50% smaller package, optimising power consumption, resolution and measurement bandwidth. The device offers wideband and low-latency filter options ...

This story continues at TI claims smallest wideband 24-bit ADC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3yhjLsM
via Yuichun

2021年12月8日 星期三

50Gbps PAM4 DSP for 5G fronthaul including O-RAN architectures

Marvell has announced a 50Gbps PAM4 DSP and TIA chipset for 5G fronthaul, which enables  integrated radio access networks (RAN) as well as Open (O-RAN) and virtualised (vRAN) architectures. The AtlasOne chipset supports carriers which want front haul solutions that scale beyond 25G. Carriers are upgrading radio capacity with wider bandwidths – up to 200 ...

This story continues at 50Gbps PAM4 DSP for 5G fronthaul including O-RAN architectures

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rQ7FFU
via Yuichun

150kVA SiC power design is adaptable

Microchip has tied up with power component company Mersen to create a 150kVA silicon carbide three-phase evaluation design. “When power inverter designers can source a proven solution, they can avoid sourcing individual parts and reduce risk through reliability. Designers now have an all-in-one evaluation system,” said Microchip v-p of discretes Leon Gross. Called Power Stack, the ...

This story continues at 150kVA SiC power design is adaptable

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rNIS4X
via Yuichun

Unusual take on user-interfacing for game controller

Latvian start-up Azeron took a unique approach when creating its Cyborg game controller, adding 24 or 29 programmable keys alongside joystick capability. Fingers get keys below, in front and above them, some get side buttons as well – so four or more buttons each – and the thumb has plenty of things to press and ...

This story continues at Unusual take on user-interfacing for game controller

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3y7qa9H
via Yuichun

Embedded Studio gets 64bit Risc-V support

Segger has added support for 64bit Risc-V to Embedded Studio. Covering CPUs including RV64I, RV64E and RV64GC with a floating-point unit, it comes integrated with emRun C/C++ runtime and emFloat floating-point libraries, the Segger Linker and Compiler. The GNU compiler and linker are also included. “64bit embedded systems are gaining popularity with faster chips and ...

This story continues at Embedded Studio gets 64bit Risc-V support

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/31HlTxs
via Yuichun

FPGA foundation sets up for disruption at DAC

Following its created in April this year, the Open Source FPGA Foundation was at DAC (Design Automation Conference) to advocate for innovation with open source tools and wrestle control from what has been a largely unchanged FPGA space for 30 years. The fledging non-profit foundation has over 20 members from academia and more than 1,000 ...

This story continues at FPGA foundation sets up for disruption at DAC

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rJZScm
via Yuichun

2G and 3G to be phased out by 2033 and O-RAN to take 35% of UK network by 2030

Today, the government is announcing plans to phase out 2G and 3G mobile networks by 2033 and facilitate multi-vendor O-RAN networks taking 35% of the network by 2030. The announcement follows the ban on Huawei equipment from 5G networks and the recent introduction of the Telecommunications Security Act.  The government has agreed with the UK ...

This story continues at 2G and 3G to be phased out by 2033 and O-RAN to take 35% of UK network by 2030

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rMt7eQ
via Yuichun

IC market to grow 26% this year and 11% next, says IC Insights

The IC market will grow 26% this year and 11% next year, says IC Insights as it celebrates the 25th anniversary of its McLean Report. Figure 1 shows the 1Q/4Q IC market direction indicator that IC Insights developed 24 years ago. The figure is labeled as a “direction indicator” because the actual 1Q/4Q change does ...

This story continues at IC market to grow 26% this year and 11% next, says IC Insights

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oxf6iT
via Yuichun

2021年12月7日 星期二

Melexis offers DVKs for current sensor IC evaluation

Melexis, the Belgian automotive IC specialist, has  its latest development kits (DVKs) for current sensor IC evaluation. These DVKs give engineers a real preview of each IC’s features in their own design.  Melexis has introduced two new development kits. They enable engineers to study the functional capabilities of Melexis current sensor ICs, thereby shortening customer ...

This story continues at Melexis offers DVKs for current sensor IC evaluation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3y3cKLO
via Yuichun

Airbus to build Ariel exoplanet satellite

The European Space Agency (ESA) has contracted Airbus to design and build the exoplanet satellite Ariel (Atmospheric Remote-sensing Infrared Exoplanet Large-survey). Following Cheops, which launched in 2019, and Plato, scheduled for launch in 2026, Ariel will be the third dedicated ESA exoplanet mission. It is planned for launch in 2029 and will study the composition ...

This story continues at Airbus to build Ariel exoplanet satellite

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dtFX9t
via Yuichun

Custom Linux allows Raspberry Pi to drive ADI peripherals

Analog Devices has released a Linux operating system based on Raspberry Pi OS for use with Raspberry Pis. Called Kuiper Linux, it incorporates Linux device drivers for ADI products, and supports other hardware including Digilent Zedboard, TerASIC DE10-Nano and Digilent Cora “The reasoning behind creating this distribution is to minimise the barriers to integrating ADI hardware devices into a Linux-based system,” according ...

This story continues at Custom Linux allows Raspberry Pi to drive ADI peripherals

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3IE9WtN
via Yuichun

TSMC in spat with Intel

Last weekend TSMC chairman Mark Liu (pictured) took Intel to task over its efforts to persuade the US government only to support domestic US chip companies. ’Not too many people will believe what Intel says,” said Liu, “it will be very negative for the US to subsidise only American companies. Unlike Intel, TSMC is very ...

This story continues at TSMC in spat with Intel

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ds4Nq3
via Yuichun

Ultrasonic camera detects electrical discharge

RS Components is shipping a hand-held ultrasonic-imaging camera from Flir. “Ultrasonic imaging is a relatively new technology that can provide the detection of compressed air discharge or leaks, as well as electrical discharge and condition monitoring in the power generation sector,” according to RS. Called FLIR Si124, it offers built-in analytics for automatic recognition of ...

This story continues at Ultrasonic camera detects electrical discharge

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3y0axAS
via Yuichun

WD-40 electrical products get a new look

WD-40 has redesigned the cans of its specialist electrical spray products to look more like traditional multi-use WD-40. Its professional-grade range of lubricants, greases and cleaners for industrial use was introduced in 2011. The new look (right) is more like traditional WD-40 “When we surveyed our customers on how we could make improvements, the feedback ...

This story continues at WD-40 electrical products get a new look

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3pwsumY
via Yuichun

Intel to spin-off Mobileye

Intel says it will take Mobileye public in the USA in mid-2022 via an issue of new Mobileye stock. Estimates suggest Mobileye could be worth $50 billion. Mobileye had revenues of  $1 billion in the first nine months of this year and operating income of $361 million. The move will unlock the value of Mobileye ...

This story continues at Intel to spin-off Mobileye

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3y2ZQxr
via Yuichun

2021年12月6日 星期一

EU stops the clock on Nvidia-Arm investigation

The EU has stopped the clock on its in-depth investigation into the Nvidia-Arm takeover. The EU antitrust people have halted their investigation while they wait for more information. It was revealed last week that the UK, EU and US agencies looking at the deal are in regular contact with eachother. While the UK and EU ...

This story continues at EU stops the clock on Nvidia-Arm investigation

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3rIHEIb
via Yuichun

Q3 foundry revenue up 11.8%

Q3 foundry revenue rose by 11.8% QoQ to $27.28 billion, says TrendForce, after nine consecutive quarters of revenue growth. TSMC raised its quarterly revenue by 11.9% QoQ to $14.88 billion as it benefited from the release of new iPhone models. The combined revenue share of the 7nm and 5nm nodes has already surpassed 50% and ...

This story continues at Q3 foundry revenue up 11.8%

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3ovcOB5
via Yuichun

October IC sales up 24% heading for $553bn 2021 market

October semiconductor revenues were up 24% y-o-y at $48.8 billion compared with $39.4 billion in October 2020 and up 1.1% on September’s $48.3 billion says the SIA. WSTS projects annual global sales will increase 25.6% in 2021 and 8.8% in 2022. “Global semiconductor demand remained high in October, with year-to-year sales increasing substantially across all major ...

This story continues at October IC sales up 24% heading for $553bn 2021 market

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3EAaGh4
via Yuichun

NASA funds three approaches to a commercial LEO space station

When it comes to developing a next-generation commercial space station for Low Earth Orbit, NASA has chosen three separate concepts for investment. It has signed agreements with three U.S. companies “to enable a robust, American-led commercial economy in low-Earth orbit”. The leading companies receiving the awards are Blue Origin of Kent, Washington ($130 million), Nanoracks ...

This story continues at NASA funds three approaches to a commercial LEO space station

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/31A0LJR
via Yuichun

RISC-V reviews progress.

RISC-V International is holding the RISC-V Summit, both virtually and in-person in San Francisco from Dec. 6-8, 2021. RISC-V membership grew 130% in 2021 to 2,478 members including 18 Premier level members. Engagement in RISC-V work groups and committees has grown 67% in the last year to nearly 12,000 individuals. RISC-V anticipates that in 2021 alone ...

This story continues at RISC-V reviews progress.

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3xZsqjb
via Yuichun

Imagination offers Risc-V core IP

Imagination Technologies has announced Risc-V CPU intellectual property for SoC companies. Branded Catapult, it is a “product line designed from the ground-up for next-generation heterogeneous compute needs,” according to Imagination. “Leveraging Imagination’s 20 years of experience in delivering complex IP solutions, Catapult CPUs can be configured for performance, efficiency or balanced profiles.” There will be ...

This story continues at Imagination offers Risc-V core IP

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3DvgZkD
via Yuichun

Plug 100A straight into a PCB

Designed to be soldered through holes in a PCB, LF PowerBasket connectors from Wurth are dropped through holes and top-side-soldered to produce high current plug in and out connections using 3mm or 6mm diameter male pins. The sockets can be used one at a time or, due 0.6mm positional tolerance, several contacts can be plugged ...

This story continues at Plug 100A straight into a PCB

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3dow6Bw
via Yuichun

Intel consortium to drive IPUs

Intel today announced a collaboration with Inspur, Ruijie Networks and Silicom to design and develop new FPGA-based infrastructure processing unit (IPU) chips to deliver customised and programmable products for cloud and networking customers. An IPU is a programmable networking device designed to enable cloud and communication service providers to reduce overhead and free up performance ...

This story continues at Intel consortium to drive IPUs

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3lGWWtc
via Yuichun

Mikroe rents development hardware on-line for live remote project work

MikroElektronika, the development hardware company behind Click boards and mikroBUS, has put suites of its high-end development boards on-line to offer them as rentable remote hardware – known as ‘hardware as a service’, and in this case called ‘Planet Debug’. This is not simulated hardware, but real hardware on which user code can be developed and debugged, ...

This story continues at Mikroe rents development hardware on-line for live remote project work

Or just read more coverage at Electronics Weekly



from News – Electronics Weekly https://ift.tt/3oqXfdq
via Yuichun